黃錫瑜 著作
(Publications of Shi-Yu Huang)
Link to the Home Page of Shi-Yu Huang

 專書與章節 Book or Book Chapter

  1. S.-Y. Huang, and K.-T. Cheng, "Formal Equivalence Checking and Design Debugging", Kluwer Academic Publishers, (June, 1998)

  2. S.-Y. Huang, Chapter 7 - Logic Diagnosis of "VLSI Test Principles and Architectures - Design for Testability," Edited by L.-T. Wang, C.-W. Wu, and X. Wen, Morgan Kaufmann Publishers, pp. 397-460, (June 2006).

  3. S.-Y. Huang, "Interconnect Testing for 2.5D and 3D Stacked ICs", A Chapter in Handbook of 3D Integration, Vol. 4 - Design, Test, and Thermal Management, Edited by P. D. Franzon, E. J. Marinissen, and M. S. Bakir, Wiley-VCH, ISBN: 978-3-527-33855-9, (March 2019).

期刊 Journal Papers

  1. S.-Y. Huang and K.-T. Cheng, "ErrorTracer: A Fault-Simulation-Based Approach to Design Error Diagnosis", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 1341-1352, (Sept. 1999).

  2. S.-Y. Huang, K.-C. Chen and K.-T. Cheng, "AutoFix: A Hybrid Tool for Automatic Logic Rectification", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 1375-1384, (Sept. 1999).

  3. K.-T. Cheng, S.-Y. Huang, and W.-J. Dai, "Fault Emulation: A New Methodology for Fault Grading," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 1487-1495, (Oct. 1999).

  4. S.-Y. Huang, K.-T. Cheng, K.-C. Chen, C.-Y. Huang, and F. Brewer, "AQUILA: An Equivalence Checking System for Large Sequential Designs," IEEE Trans. on Computers, pp. 443-464, (May 2000).

  5. S.-Y. Huang, K.-T. Cheng, K.-C. Chen, "Verifying Sequential Equivalence Using ATPG techniques," ACM Trans. on Design Automation of Electronic Systems, pp. 244-275, (April 2001).

  6. S.-Y. Huang, D.-M. Kwai, and C. Huang, "A High-Speed Architecture For At-Speed DRAM Testing," Journal of The Chinese Institute of Electrical Engineering, Vol. 8, No. 4, pp. 387-394, (Nov. 2001).

  7. S.-Y. Huang, "Improving the Timing of Extended Finite State Machines Via Catalyst," VLSI Design Journal, Vol. 15, No. 3, pp. 629-636, (Nov. 2002).

  8. S.-Y. Huang, "A Symbolic Inject-And-Evaluate Paradigm for Byzantine Fault Diagnosis", Journal of Electronic Testing, Theory and Applications (JETTA), Vol. 19, No. 2, pp. 161-172, (April 2003).

  9. H.-C. Kao, M.-F. Tsai, S.-Y. Huang, C.-W. Wu, W.-F. Chang, and S.-K. Lu, "Efficient Double Fault Diagnosis for CMOS Logic Circuits With A Specific Application To Generic Bridging Faults", Journal of Information Science and Engineering (JISE), pp. 571-586, Vol. 19, No. 4, (July 2003).

  10. S.-Y. Huang and C.-J. Liu, "A Low-Power Architecture For Extended Finite State Machines Using Input Gating," IEICE Trans. on Fundamentals, pp. 3109-3115, Vol. E87-A, No. 12, (Dec. 2004).

  11. Y.-J. Juang, S.-F. Chen, S.-Y. Huang, Y.-C. King, "A Low-Cost Logarithmic CMOS Image Sensor Design By Nonlinear Analog-To-Digital Conversion", IEEE Trans. on Consumer Electronics, Vol. 51, No. 4, pp. 1212-1217, (Nov. 2005).

  12. K.-H. Lai, S.-Y. Huang, and P.-C. Chiang, "A Sizing Methodology for the Charge Noise Reduction of a Comparator," Int'l Journal of Electrical Engineering, Vol. 13, No. 1, pp. 1-7, (Feb. 2006).

  13. C.-H. Lai, Y.-C. King, and S.-Y. Huang, "A 1.2V 0.25um Clock Output Pixel Architecture With Dynamic Range and Self-Offset Cancellation," IEEE Sensors Journal, pp. 398-405, Vol. 6, No. 2, (April 2006).

  14. Y.-C. Lin and S.-Y. Huang, "Accurate Whole-Chip Diagnostic Strategy for Scan Designs with Multiple Faults," Journal of Electronic Testing: Theory and Applications (JETTA), Vol. 22, No. 2, pp. 151-159, (April 2006).

  15. Y.-T. Lin and S.-Y. Huang, "Low-Power Adaptive FIR Filter Generator Using Bit-Oriented Structures," IEE Proceedings Circuits, Devices, and Systems, Vol. 153, No. 2, pp. 167-172, (April 2006).

  16. H.-B. Wang, S.-Y. Huang, and J.-R. Huang, "A Modified Inject-and-Evaluate Paradigm for Diagnosing Gate-Delay Faults", Int'l Journal of Electrical Engineering, Vol. 13, No. 2, pp. 185-191, (May 2006).

  17. C.-W. Tzeng and S.-Y. Huang, "Diagnosis by Image Recovery: Finding Mixed Multiple Timing Faults in a Scan Chain," IEEE Trans. on Circuits and Systems II: Express Briefs (TCAS-II), Vol. 54, No. 8, pp. 690-694, (Aug. 2007).

  18. C.-F. Chen, S.-Y. Huang, and Y.-C. King, "Built-In Self-Repair for Die-to-Die Misalignment for Multi-Die Space Sensors," IEEE Sensors Journal, Vol. 7, No. 9, pp. 1354-1355, (Sept. 2007).

  19. C.-W. Tzeng, J.-J. Hsu, and S.-Y. Huang, "A Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains," IET Proc. on Computers and Digital Techniques, Vol. 1, No. 6, pp. 706-715, (Nov. 2007).

  20. C.-W. Tzeng, J.-S. Yang, and S.-Y. Huang, "A Versatile Paradigm for Scan Chain Diagnosis of Complex Faults Using Signal Processing Techniques," ACM Trans. on Design Automation of Electronic Systems (TODAES), Vol. 13, No. 1, pp. 9.1-9.27, (Jan. 2008).

  21. C.-W. Tzeng and S.-Y. Huang, "UMC-Scan Test Methodology - Exploiting the Maximum Freedom of Multicasting", IEEE Design and Test of Computers (D&T), Vol. 25, No. 2, pp. 132-140, (March-April, 2008).

  22. S.-P. Cheng and S.-Y. Huang, "A Low-Power SRAM for Viterbi Decoder in Wireless Communication," IEEE Trans. on Consumer Electronics, Vol. 54, No. 2, pp. 290-295, (May 2008).

  23. Y.-C. Lai and S.-Y. Huang, "X-Calibration: A Robust Technique for Combating Excessive Bitline Leakage Current in Nanometer SRAM Designs", IEEE Journal of Solid-State Circuits (JSSC), Vol. 43, No. 9, pp. 1964-1971, (Sept. 2008). 

  24. Y.-C. Lai and S.-Y. Huang, "A Resilient and Power Efficient Automatic-Power-Down Sense Amplifier for SRAM Design", IEEE Trans. on Circuits and Systems II: Express Briefs (TCAS-II), Vol. 55, No. 10, pp. 1031-1035, (Oct. 2008).

  25. Y.-C. Lai and S.-Y. Huang, "Robust SRAM Design via BIST-Assisted Timing-Tracking (BATT)", IEEE Journal of Solid-State Circuits (JSSC), Vol. 44, No. 2, pp. 642-649, (Feb. 2009).

  26. C.-W. Tzeng, H.-C. Cheng, and S.-Y. Huang, "Layout-Based Defect-Driven Diagnosis for Intra-Cell Bridging Defects," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 28, No. 5, pp. 764-769, (May 2009).

  27. Y.-C. Lai, S.-Y. Huang, and H.-J. Hsu, "Resilient Self-VDD-Tuning Scheme with Speed Margining for Low-Power SRAM", IEEE Journal of Solid-State Circuits (JSSC), Vol. 44, No. 10, pp. 2817-2823, (Oct. 2009).

  28. C.-W. Tzeng and S.-Y. Huang, "QC-Fill: Quick-and-Cool X-Filling for Multicasting-Based Scan Test," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 28, No. 11, pp. 1756-1766, (Nov. 2009).

  29. C.-W. Tzeng and S.-Y. Huang, "Split-Masking: An Output Masking Scheme for Effective Compound Defect Diagnosis in Scan Architecture with Test Compression," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 29, No. 5, pp. 834-839, (May 2010).

  30. C.-H. Lo and S.-Y. Huang, "P-P-N Based 10T SRAM Cell for Low-Leakage and Resilient Sub-Threshold Operation," IEEE Journal of Solid-State Circuits (JSSC), Vol. 46, No. 3, pp. 695-704, (March, 2011).

  31. Bor-Woei Kuo, Hsun-Hao Chang, Yung-Chang Chen, and Shi-Yu Huang, "A Light-and-Fast SLAM Algorithm for Robots in Indoor Environments Using Line Segment Map," Journal of Robotics, (WEB-LINKING) Volume 2011, Article ID 257852, 12 pages, (2011).

  32. S.-K. Lu, Y. Chen, S.-Y. Huang, and C.-W. Wu, "Speeding Up Emulation-Based Diagnosis Techniques for Logic Cores," IEEE Design & Test of Computers (D&T), Vol. 28, No. 4, pp. 88-97, (July-Aug. 2011).

  33. H.-J. Hsu and S.-Y. Huang, "A Low-Jitter ADPLL via a Suppressive Digital Filter and an Interpolation-Based Locking Scheme," IEEE Trans. on VLSI Systems (TVLSI), Vol. 17, No. 11, pp. 165-170, (Nov. 2011).

  34. F.-C. Huang, S.-Y. Huang, J.-W. Ker, and Y.-C. Chen, "High-Performance SIFT Hardware Accelerator for Real-Time Image Feature Extraction", IEEE Trans. on Circuits and System for Video Technology (TCAS-VT), Vol. 22, No. 3, pp. 340-351, (March 2012).

  35. T.-Y. Li, S.-Y. Huang, H.-J. Hsu, C.-W. Tzeng, C.-T. Huang, J.-J. Liou, H.-P. Ma, P.-C. Huang, J.-C. Bor, C.-C. Tien, and M. Wang, and C.-W. Wu, "AC-Plus Scan Methodology for Small Delay Testing and Characterization," IEEE Trans. on VLSI Systems (TVLSI), Vol. 21, No. 2, pp. 329-341, (Feb. 2013).

  36. J.-W. You, S.-Y. Huang, Y.-H. Lin, M.-H. Tsai, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, "In-Situ Method for TSV Delay Testing and Characterization Using Input Sensitivity Analysis," IEEE Trans. on VLSI Systems (TVLSI),  Vol. 21, No. 3, pp. 443-453, (March 2013).

  37. J.-W. Ke, S.-Y. Huang, C.-W. Tzeng, D.-M. Kwai, and Y.-F. Chou, "Die-to-Die Clock Synchronization for 3D IC using Dual Locking Mechanism," IEEE Trans. on Circuits and Systems - Part I, (TCAS-I), Vol. 60, No. 4, pp. 908-917, (April 2013).

  38. Y.-H. Lin, S.-Y. Huang, K.-H. Tsai, W.-T. Cheng, S. Sunter, Y.-F. Chou, and D.-M. Kwai, "Parametric Delay Test of Post-Bond TSVs in 3-D ICs via VOT Analysis", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 32, No. 5, pp.-737-747, (May 2013).

  39. S.-Y. Huang, Y.-H. Lin, Li-Ren Huang, K.-H. Tsai, and W.-T. Cheng, "Programmable Leakage Test and Binning for TSVs with Self-Timed Timing Control", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 32, No. 8, pp. 1265-1273, (Aug. 2013).

  40. L.-R. Huang, S.-Y. Huang, S. Sunter, K.-H. Tsai, and W.-T. Cheng "Oscillation-Based Pre-Bond TSV Test," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD). Vol. 32, No. 9, pp. 1440-1444, (Sept. 2013).

  41. R.-T. Ding, S.-Y. Huang, and C.-W. Tzeng, "Cell-Based Process Resilient Multi-Phase Clock Generation", IEEE Trans. on VLSI Systems (TVLSI), Vol. 21, No. 12, pp.2348-2352, (Dec. 2013).

  42. P.-Y. Chao, C.-W. Tzeng, S.-Y. Huang, C.-C. Weng, and S.-C. Fang, "Process Resilient Low-Jitter All-Digital PLL via Smooth Code Jumping", IEEE Trans. on VLSI Systems (TVLSI), Vol. 21, No. 12, pp. 2240-2249, (Dec. 2013).

  43. L.-R. Huang, S.-Y. Huang, K.-H. (Hans) Tsai, and W.-T. Cheng, "Parametric Fault Testing and Performance Characterization of Post-Bond Interposer Wires in 2.5-D ICs",  IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 33, No. 3, pp. 476-488, (March 2014).

  44. C.-W. Tzeng, S.-Y. Huang, P.-Y. Chao, and R.-T. Ding, "Parameterized All-Digital PLL Architecture and Its Compiler to Support Easy Process Migration," IEEE Trans. on VLSI Systems (TVLSI), Vol. 22, No. 3, pp. 621-630, (March 2014).

  45. S.-Y. Huang, J.-Y. Lee, K.-H. (Hans) Tsai, and W.-T. Cheng, "Pulse-Vanishing Test for Interposers Wires in 2.5-D IC",  IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 33, No. 8, pp. 1258-1268, (Aug. 2014).

  46. S.-Y. Huang and Li-Ren Huang, "PLL-Assisted Timing Circuit for Accurate TSV Leakage Binning," IEEE Design and Test (D&T), Vol. 31, No. 4, pp. 36-42, (Aug. 2014).

  47. C.-Y. Lin, C.-W. Huang, C.-B. Kuan, S.-Y. Huang, and J.-K. Lee, "The Design and Experiments of A SID-Based Power-Aware Simulator for Embedded Multi-Core Systems," ACM Trans. on Design Automation of Electronic Systems (TODAES), Vol. 20, No. 2, Article 22, (Feb. 2015).

  48. S.-Y. Huang, M.-T. Tsai, Z.-F. Zeng, K.-H. (Hans) Tsai, and W.-T. Cheng, "General Timing-Aware Built-In Self-Repair for Die-to-Die Interconnects," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 34, No 11, pp. 1836-1846, (Nov. 2015).

  49. S.-Y. Huang, M.-T. Tsai, H.-X. Li, Z.-F. Zeng, K.-H. (Hans) Tsai, and W-.T. Cheng, "Non-Intrusive On-Line Transition-Time Binning and Timing Failure Threat Detection for Die-to-Die Interconnects," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 34, No 12, pp. 2039-2048, (Dec. 2015).

  50. S.-Y. Huang, M.-T. Tsai, K.-H. (Hans) Tsai, and W.-T. Cheng, "Delay Characterization and Testing of Arbitrary Multiple-Pin Interconnects," IEEE Design and Test (D&T), Vol. 33, No. 2, pp. 9-16, (April 2016).

  51. S.-T. Tseng, Y.-H. Kao, C.-C. Peng, J.-Y. Liu, S.-C. Chu, G.-F. Hong, C.-H. Hsieh, K.-T. Hsu, W.-T. Liu, Y.-H. Huang, S.-Y. Huang, and T.-S. Chu, "A 65nm CMOS Low-Power Impulse Radar System for Human Respiratory Feature Extraction and Diagnosis on Respiratory Diseases", IEEE Transactions on Microwave Theory and Techniques, Vol. 64, No. 4, pp. 1029-1041, (April 2016).

  52. S.-Y. Huang, C.-C. Cheng, M.-T. Tsai, K.-C. Huang, K.-H. Tsai, and W.-T. Cheng, "Versatile Transition-Time Monitoring for Interconnects via Distributed TDC", IEEE Design and Test (D&T), Vol. 33, No. 6, pp. 23-30, (Nov. 2016).

  53. S.-F. Yang, Z.-Y. Wen, S.-Y. Huang, K.-H. Tsai, and W.-T. Cheng, "Circuit and Methodology for Testing Small Delay Faults in the Clock Network", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD),  Vol. 37, No. 10, pp. 2087-2097, (Oct. 2018).

  54. Z.-H. Zhang, W. Chu, and S.-Y. Huang, "A Ping-Pong Methodology for Boosting the Resilience of Cell-Based Delay-Locked Loop", IEEE Access, Vol. 7, pp. 97928-97937, (Aug. 2019).

  55. G.-H. Lian, W.-Y. Chen, and S.-Y. Huang, "Cloud-Based Online Ageing Monitoring for IoT Devices", IEEE Access, Vol. 7, pp. 135964-135971, (Oct. 2019).

  56. C.-H. Wu, S.-Y. Huang, Y.-F. Chou, and D.-M. Kwai, "Time-to-Digital Converter Compiler for On-Chip Instrumentation", IEEE Design and Test (D&T), Vol. 37, No. 4, pp. 101-107, (Aug. 2020).

  57. M. Chern, S.-W. Lee, S.-Y. Huang, Y. Huang, G. Veda, K.-H. (Hans) Tsai, and W.-T. Cheng, "Diagnosis of Intermittent Scan Chain Faults Through a Multi-Stage Neural Network Reasoning Process", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 39, No. 10, pp. 3044-3055, (Oct. 2020).

  58. W. Chu and S.-Y. Huang, "Online Safety Checking for Delay Locked Loops via Embedded Phase Error Monitor", IEEE Trans. on Emerging Topics in Computing (TETiC), Vol. 9, No. 2, pp. 735-744, (April-June, 2021).

  59. J.-Y. Yang and S.-Y. Huang, "Process Resilient Fault Tolerant Delay Locked Loop using TMR with Dynamic Timing Correction", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 41, No. 5, pp. 1563-1572, (May 2022).

  60. J.-Y. Yang and S.-Y. Huang, "Tiny Phase-Error Monitor for Fault and Soft-Error-Tolerant DLL To Support Graceful Degradation and Module-level Testing", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Vol. 41, No. 7, pp. 2337-2347, (July, 2022).

  61. W.-H. Chen and S.-Y. Huang, "On-Chip Jitter Learning for PLL", IEEE Design and Test (D&T), Vol. 39, No. 4, pp. 58-63, (Aug. 2022).

  62. Y.-C. Su and S.-Y. Huang, "Clock-Latency-Aware Fault-Tolerant DLL for Multi-Die Clock Synchronization", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), pp. 2761-2765, Vol. 42, No. 8, (Aug. 2023).

  63. L. Lin, C. Lai, S.-Y. Huang, K.-Y. Yeh, "Compiler of Reed-Solomon Codec for 400 Gbps IEEE 802.3bs", IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems (TCAD), pp. 2776-2780, Vol. 42, No. 8, (Aug. 2023).

  64. Y.-C. Su and S.-Y. Huang, "A Process-Adaptive Cell-Based Cyclic Time-to-Digital Converter Using One-Way Varactor Cells", IEEE Trans. on VLSI Systems (TVLSI), Vol. 31, No. 3, pp. 343-354, (March 2023).

  65. S.-H. Yang and S.-Y. Huang, "General Fault and Soft-Error Tolerant Phase-Locked Loop by Enhanced TMR Using A Synchronization-before-Voting Scheme", Journal of Electronic Testing - Theory and Applications (JETTA), Vol. 40, No. 1, (Feb. 2024).

  66. C.-Y. Wen and S.-Y. Huang, "Instant Test and Repair for TSVs Using Differential Signaling", to appear in Journal of Electronic Testing - Theory and Applications (JETTA), Vol. 40, No. 2, (April 2024).

  ------------------------------------------------------------------------------------------------------------------------------------------------------------------

會議論文集 Conference Papers

  1. K.-T. Cheng, S.-Y. Huang, and W.-J. Dai, "Fault Emulation: A Novel Approach to Fault Grading", Proc. Int'l Conf. on Computer-Aided Design, pp. 681-686, (Nov. 1995). abstract

  2. S.-Y. Huang, K.-T. Cheng, and K.-C. Chen, "On Verifying the Correctness of Retimed Circuits", Proc. of Great-Lake Symposium on VLSI, pp. 277-281, (March 1996). abstract

  3. S.-Y. Huang, K.-C. Chen, K.-T. Cheng, and T.-C. Lee, "Vector Generation for Accurate Power Simulation", Proc. of IEEE/ACM Design Automation Conf., pp. 161-164, (June. 1996). abstract

  4. S.-Y. Huang, K.-C. Chen, and K.-T. Cheng, "Error Correction Based on Verification Techniques", Proc. of IEEE/ACM Design Automation Conf., pp. 258-261, (June. 1996). abstract

  5. S.-Y. Huang, K.-T. Cheng, K.-C. Chen, and T.-C. Lee, "A Novel Methodology for Transistor-level Power Simulation", Int'l Symposium on Lower Power Electronic Design, pp. 67-72, (Aug. 1996). abstract

  6. S.-Y. Huang, K.-T. Cheng and K.-C. Chen, "An ATPG-based Framework for Verifying Sequential Equivalence", Proc. Int'l Test Conf., pp. 865-874, (Oct. 1996). abstract

  7. S.-Y. Huang, K.-T. Cheng and K.-C. Chen, "AQUILA: An Equivalence Verifier for Large Sequential Circuits", Proc. of Asia and South Pacific Design Automation Conf., pp. 455-460, (Jan. 1997). abstract

  8. S.-Y. Huang, K.-C. Chen, and K.-T. Cheng, "Incremental Logic Rectification", Proc. of VLSI Test Symposium, pp. 134-139, (April 1997). abstract

  9. S.-Y. Huang, K.-T. Cheng, K.-C. Chen, and D. I. Cheng, "ErrorTracer: A Fault Simulation Based Approach to Design Error Diagnosis", Proc. of Int'l Test Conf., pp. 974-981, (Nov. 1997).

  10. Y.-M. Jiang, S.-Y. Huang, K.-T. Cheng, D. C. Wang, and C.-Y. Ho, "A Hybrid Power Model for RTL Power Estimation", Proc. of Asia and South Pacific Design Automation Conf., pp. 551-556, (Feb. 1998).

  11. S.-Y. Huang, K.-T. Cheng, and K.-C. Chen, "General Design Error Diagnosis for Sequential Circuits", Proc. of IEEE/ACM Design Automatic Conf., pp. 632-637, (June 1998).  

  12. Yi-Min Jiang, S.-Y. Huang, K.-T. Cheng, and D.-C. Wang, C.-Y. Ho, "A Hybrid Power Model For RTL Power Estimation," Proc. of Asia and South Pacific Design Automation Conf., pp. 551-556, (Feb. 1998). 

  13. S.-Y. Huang and D.-M. Kwai, "A High-Speed Built-In Self-Test Design for DRAMs", Proc. of Int'l Symposium on VLSI-TSA (Technology, Systems, and Applications), pp. 50-53, (June 1999).

  14. S.-Y. Huang, "On Speeding Up Finite State Machines Using Catalyst Circuitry", Proc. of Asia and South Pacific Design Automation Conf. (ASP-DAC), 583-588, (Jan. 2001).

  15. S.-Y. Huang, "Towards The Logic Defect Diagnosis For Partial-Scan Designs", Proc. of Asia and South Pacific Design Automation Conf. (ASP-DAC), pp. 313-318, (Jan. 2001).  

  16. S.-Y. Huang, "On Improving the Accuracy of Multiple Defect Diagnosis", Proc. of VLSI Test Symposium (VTS), pp. 34-39, (April 2001).  

  17. C.-J. Liu and S.-Y. Huang, "Low-Power Synthesis For Extended Finite State Machines," Proc. of 12th VLSI/CAD Symposium, Taiwan, (Aug. 2001).

  18. C.-C. Lu and S.-Y. Huang, "Improving the Accuracy of Mixed-Level Power Estimation for CMOS Logic Circuits With Power Management," Proc. of 12th VLSI/CAD Symposium, Taiwan, (Aug. 2001).

  19. H.-C. Kao, M.-F. Tsai, S.-Y. Huang, C.-W. Wu, W.-F. Chang, and S.-K. Lu, "Efficient Double Fault Diagnosis For CMOS Logic Circuits," Proc. of 12th VLSI/CAD Symposium, Taiwan, (Aug. 2001).

  20. C.-W. Wang, R.-S. Tzeng, C.-F. Wu, C.-T. Huang, C.-W. Wu, S.-Y. Huang, S.-H. Lin, and H.-P. Wang, "A Built-In Self-Test and Self-Diagnosis Scheme for Heterogeneous SRAM Clusters," Proc. of Asian Test Symposium, pp. 103-108, (Nov. 2001).

  21. S.-Y. Huang, "Speeding Up The Byzantine Fault Diagnosis Using Symbolic Simulation," Proc. of VLSI Test Symposium, pp. 193-198, (April 2002).

  22. Y.-C. Tsai, S.-Y. Huang, C.-P. Su, C.-T. Huang, and C.-W. Wu, "Fine-Grain Mixed-Level Power Estimation Based On Disparity Path Analysis," Proc. of 12th VLSI/CAD Symposium, Taiwan, (Aug. 2002).

  23. H.-B. Wang, S.-Y. Huang, and J.-R. Huang, "Gate-Delay Fault Diagnosis Using The Inject-And-Evaluate Paradigm For Full-Scan Designs", Proc. of Int'l Symposium on Defect and Fault Tolerance in VLSI Systems (DFT'02), (Nov. 2002).

  24. S.-Y. Huang, "Diagnosis Of Byzantine Open-Segment Faults," Proc. of Asian Test Symposium, pp. 248-253, (Nov. 2002).  

  25. M.-L. Lee, T.-T. Hwang, and S.-Y. Huang, "Decomposition of Extended Finite State Machine For Low-Power Design," Proc. of Design Automation and Test in Europe, pp. 1152-1153, (2003).

  26. S.-K Lu, J.-L. Chen, C.-W. Wu, K.-F. Chang, and S.-Y. Huang, "Combinational Circuit Fault Diagnosis Using Logic Emulation," Proc. of Int'l Symp. on Circuits and Systems, Vol. 5, pp. 549-552, May 2003.

  27. S.-F. Chen, Y.-J. Juang, S.-Y. Huang, and Y.-C. King, "Logarithmic CMOS Image Sensor Through Multi-Resolution Analog-To-Digital Conversion," Proc. of Int'l Symposium on VLSI Technology, Systems, and Applications, pp. 227-230, (April 2003).

  28. Y.-T. Lin and S.-Y. Huang, "Efficient Bit-Oriented Implementation of FIR Filters Using A New Compressor," Proc. of Int'l SOC Conf., pp.269-271, (Sept. 2003).

  29. B.-R. Lin, S.-Y. Huang, C.-H. Lai, and Y.-C. King, "A High Dynamic Range CMOS Image Sensor Design Based On Two-Frame Composition," Proc. of Int'l SOC Conf., pp. 389-392, (Sept. 2003).

  30. Y.-C. Lin and S.-Y. Huang, "Chip-Level Diagnostic Strategy For Full-Scan Designs With Multiple Faults," Proc. of Asian Test Symposium, pp. 38-44, (Nov. 2003). (Invited)

  31. S.-Y. Huang, "A Fading Algorithm For Sequential Fault Diagnosis," to appear in Proc. of In'l Symposium on Defect and Fault Tolerance on VLSI Systems, pp. 139-147, (Nov. 2004).

  32. K.-H. Lai, S.-Y. Huang, P.-C. Chiang, "A Sizing Methodology For A Low-Noise Comparator," Proc. of Asia-Pacific Conf. on Circuits and Systems, pp. 253-256, (Dec. 2004).

  33. M.-Y. Sum, S.-Y. Huang, C.-C. Weng, and K.-S. Chang, "Accurate RT-Level Power Estimation Using Up-Down Encoding," Proc. of Asia-Pacific Conf. on Circuits and Systems, pp. 69-72, (Dec. 2004).

  34. Y.-F. Lee, S.-Y. Huang, S.-Y. Hsu, I.-L. Chen, C.-T. Shieh, J.-C. Lin, S.-C. Chang, "Power Estimation Strategies For A Low-Power Security Processor," Proc. of Asia-Pacific Design Automation Conf. (ASP-DAC), pp. 367-371, (Jan. 2005).

  35. M.-Y. Sum, K.-S. Chang, C.-C. Weng, and S.-Y. Huang, "ToggleFinder: Accurate RTL Power Estimation For Large Designs," Int'l Symposium on VLSI Design, Automation, and Test, (VLSI-DAT), pp. 16-19, (April 2005).

  36. S.-P. Cheng and S.-Y. Huang, "A Low-Power SRAM Design Using Quiet-Bitline Architecture," Proc. of  IEEE Int'l Workshop on Memory Technology, Design, and Testing, (MTDT), (Aug. 2005).

  37. J.-S. Yang and S.-Y. Huang, "Quick Scan Chain Diagnosis Using Signal Profiling," Proc. of Int'l Conf. on Computer Design, (ICCD), (Oct. 2005).

  38. K.-S. Chang, C.-C. Weng, and S.-Y. Huang, "Accurate RTL Power Estimation for a Security Processor," Emerging Information Technology Conf., 2005.

  39. L.-Y. Ko, S.-Y. Huang, J.-J. Chiou, and H.-C. Cheng, "Modeling and Testing of Intra-Cell Bridging Defects Using Butterfly Structure," Proc. of VLSI Design, Automation, and Testing (VLSI-DAT), pp. 159-162, (April 2006). (Best Presentation Award)

  40. J.-J. Hsu, S.-Y. Huang, and C.W. Tzeng, "A New Robust Paradigm for Diagnosing Hold-Time Faults in Scan Chains," Proc. of VLSI Design, Automation, and Testing (VLSI-DAT), pp. 171-174, (April 2006).

  41. C.-W. Wu, C.-T. Huang, S.-Y. Huang, P.-C. Huang, T.-Y. Chang, and Y.-T. Hsing, "The HOY Tester - Can IC Testing Go Wireless," Proc. of VLSI Design, Automation, and Testing (VLSI-DAT), pp. 183-186, (April 2006).

  42. Y.-C. Lai and S.-Y. Huang, "X-Calibration: A Wide-Range Leakage Current Cancellation Technique for Nanometer SRAM Designs," Proc. of Int'l SoC Design Conf., (Oct. 2006).

  43. C.-C. Weng   C.-S. Yang, and S.-Y. Huang, "RT-Level Vector Selection for Realistic Peak Power Simulation", pp. 576-581, Proc. of Great Lakes Symp. on VLSI, (March 2007). 

  44. H.-J. Hsu, C.-C. Tu, and S.-Y. Huang, "Built-In Speed Grading with a Process Tolerant ADPLL", Proc. of Asian Test Symposium, pp. 384-390, (Oct. 2007).

  45. Y-C. Lai and S.-Y. Huang, "Resilient SRAM Design Using BIST-Assisted Timing Tracking," Proc. of Memory Technology, Design, and Testing Workshop, pp. 39-41, (Dec. 2007).

  46. H.-J. Hsu, C.-C. Tu, and S.-Y. Huang, "A High-Resolution All-Digital Phase-Locked Loop with Its Application to Built-In Speed Grading for Memory," Proc. of Int'l Symp. on VLSI Design, Automation, and Testing, pp. 267-270, (April 2008).

  47. C.-W. Tzeng and S.-Y. Huang, "Two-Gear Lower-Power Scan Test," Proc. of Asian Test Symposium, pp. 337-342, (Nov. 2008).

  48. C.-W. Tzeng and S.-Y. Huang, "QC-Fill: An X-Fill Method for Quick-and-Cool Scan Test," Proc. of Design Automation and Test in Europe (DATE), pp. 1142-1147, (April 2009).

  49. C.-W. Tzeng, C.-Y. Lin, S.-Y. Huang, C.-T. Huang, J.-J. Liou, H.-P. Ma, P.-C. Huang, and C.-W. Wu, "iScan: Indirect-Access Scan Test over HOY Test Platform," in Proc. of Int'l Symp. on VLSI Design, Automation, and Testing, (VLSI-DAT), pp. 60-63, (April 2009).

  50. H.-J. Hsu and S.-Y. Huang, "An Low-Jitter All-Digital Phased-Locked Loop Using a Suppressive Digital Loop Filter," Proc. of Int'l Symp. on VLSI Design, Automation, and Testing, (VLSI-DAT), pp. 60-63, (April 2009).

  51. C.-W. Tzeng and S.-Y. Huang, "Use of Multicasting-Scan Architectures for Compound Defect Diagnosis," Proc. of IEEE Circuits and Systems Int'l Conf. on Testing and Diagnosis, pp. 1-4, (April 2009).

  52. C.-W. Tseng and S.-Y. Huang, "Output Test Compression for Compound Defect Diagnosis," Proc. of IEEE Conf. on ASIC, pp. 569-572, (2009).

  53. C.-W. Hsu, J.-J. Lia, J.-C. Yeh, J.-J. Chen, S.-Y. Huang, and J.-J. Liou, "Memory-Aware Power Modeling for PAC DSP Core," Proc. of IEEE Asian Symp. on Quality Electronics Design, (ASQED), pp. 319-324, (July 2009).

  54. Y.-Y. Chen and S.-Y. Huang, "Rapid and Accurate Timing Modeling for SRAM Compiler," Proc. of  IEEE Int'l Workshop on Memory Technology, Design, and Testing, (MTDT), pp. 73-76, (Nov. 2009).

  55. W.-T. Hsieh, J.-C. Yeh, and S.-Y. Huang, "PAC Duo System Power Estimation at ESL," Proc. of IEEE Asia and South Pacific Design Automation Conf., pp. 815-820, (Jan. 2010).

  56. C.-Y. Lin, P.-Y. Chen, C.-K. Tseng, C.-W. Huang, C.-C. Weng, C.-B. Kuan, S.-H. Lin, S.-Y. Huang, and J.-K. Lee, "Power Aware SID-Based Simulator for Embedded Multicore DSP SubSystems," Proc. of Hardware/Software Codesign and System Synthesis (CODES+ISSS), pp. 95-103, (2010).

  57. T.-Y. Li, S.-Y. Huang, H.-J. Hsu, C.-W. Tzeng, C.-T. Huang, J.-J. Liou, H.-P. Ma, P.-C. Huang, J.-C. Bor, C.-C. Tien, M. Wang, and C.-W. Wu, "AF-Test: Adaptive-Frequency Scan Test Methodology for Small-Delay Defects," Proc. of IEEE Int'l Symp. on Defect and Fault Tolerance in VLSI Systems (DFT), pp. pp. 340-348, Kyoto, Japan, (Sept. 2010).

  58. J.-W. You, S.-Y. Huang, D.-M. Kwai, Y.-F. Chou, and C.-W. Wu, "Performance Characterization of TSV in 3D IC via Sensitivity Analysis," Proc. of Asian Test Symposium (ATS), pp. 389-394, (Dec. 2010).

  59. J.-W. Ke, S.-Y. Huang, and D.-M. Kwai, "A High-Resolution All-Digital Duty- Cycle Corrector With a New Pulse-Width Detector," Proc. of Electron Devices and Solid-State Circuits (EDSSC), pp. 1-4, (Dec. 2010).

  60. C.-K. Tseng, S.-Y. Huang, C.-C. Weng, S.-C. Fang, and J.-J. Chen, "Black-Box Leakage Power Modeling for Cell Library and SRAM Compiler," Proc. of IEEE Conf. on Design, Automation, and Test in Europe (DATE), pp. 1-6, (March 2011).

  61. P.-Y. Chao, C.-W. Tzeng, S.-C. Fang, C.-C. Weng, and S.-Y. Huang, "Low-Jitter Code-Jumping for All-Digital PLL to Support Almost Continuous Frequency Tracking," Proc. of Int'l Symp. on VLSI Design, Automation, and Testing, (VLSI-DAT), pp. 1-4, (April 2011).

  62. S.-C. Fang, C.-C. Weng, C.-K. Tseng, C.-W. Hsu, J.-L. Liao, S.-Y. Huang, C.-L. Lung, and D.-M. Kwai, "SoC Power Analysis Framework and Its Application to Power-Thermal Co-Simulation," Proc. of VLSI Design, Automation, and Test (VLSI-DAT),  pp. 1-4, (2011).

  63. C.-W. Hsu, J.-L. Liao, S.-C. Fang, C.-C. Weng, S.-Y. Huang, W.-T. Hsieh, and J.-C. Yeh, "PowerDepot: Integrating IP-Based Power Modeling with ESL Power Analysis for Multi-Core SoC Designs," Proc. of Design Automation Conf. (DAC), pp. 47-52, (2011).

  64. C.-F. Li, C.-Y. Lee, C.-H. Wang, S.-L. Chang, L.-M. Deng, C.-C. Chi, H.-J. Hsu, M.-Y. Chu, J.-J. Liou, S.-Y. Huang, P.-C. Huang, H.-P. Ma, J.-C. Bor, C.-W. Wu, C.-C. Tien, C.-H. Wang, Y.-S. Kuo, C.-T. Huang, and T.-Y. Chang, "A Low-Cost Wireless Interface with No External Antenna and Crystal Oscillator for Cm-Range Contactless Testing," Proc. of Design Automation Conf. (DAC), pp. 771-776, (2011).

  65. Y.-C. Chang, S.-Y. Huang, C.-W. Tzeng, "A Fully Cell-Based Design for Timing Measurement of Memory," Proc. of Int'l Test Conf, (ITC), pp. 1-10, (Nov. 2011).

  66. R.-T. Ding, S.-Y. Huang, C.-W. Tzeng, S.-C. Fang, and C.-C. Weng, "Cyclic-MPCG: Process-Resilient and Super-Resolution Multi-Phase Clock Generation by Exploiting the Cyclic Property," Proc. of  IEEE VLSI Design, Automation, and Test (VLSI-DAT),  pp. 1-4, (Apirl 2012).

  67. Y.-H. Lin, S.-Y. Huang, K.-H. Tsai, W.-T. Cheng, S. Sunter, Y.-F. Chou, and D.-M. Kwai, "Small Delay Testing for TSVs in 3D ICs", Proc. of IEEE Design Automation Conf. (DAC), pp. 1031-1036, (June 2012).

  68. C.-M. Lai, K.-W. Tan, L.-Y. Yu, Y.-J. Chen, J.-W. Huang, S.-C. Lai, F.-H. Chung, C.-F. Yen, J.-M Wu, P.-C. Huang, K.-J. Chang, S.-Y. Huang, and T.-S. Chu, "A UWB IR Timed-Array Radar Using Time-Shifted Direct-Sampling Architecture," Proc. of IEEE VLSI Circuit Symp., pp. 54-55, (2012).

  69. Y.-H. Lin, S.-Y. Huang, K.-H. Tsai, W.-T. Cheng, and S. Sunter, "A Unified Method for Parametric Fault Characterization of Post-Bond TSVs", Proc. of IEEE Int'l Test Conf. (ITC), Paper 12.1, pp. 1-10, (Nov. 2012).

  70. Y.-H. Lin, S.-Y. Huang,K.-H. Tsai, and W.-T. Cheng, "Programmable Leakage Test and Binning for TSVs", Proc. of IEEE Asian Test Symp. (ATS), pp. 43-48, (Nov. 2012).

  71. C.-H. Hsu, S.-Y. Huang, D.-M. Kwai, and Y.-F. Chou, "Worst-Case IR-Drop Monitoring with 1GHz Sampling Rate," IEEE Proc. of VLSI Design, Automation, and Test (VLSI-DAT),  pp. 1-4, (April 2013). (Best Paper Award)

  72. S.-Y. Huang, J.-Y. Lee, K.-H. (Hans) Tsai, and W.-T. Cheng, "At-Speed BIST for Interposer Wires Supporting On-the-Spot Diagnosis", Int'l On-Line Test Symp. (IOLTS), pp. 67-72, (July 2013).

  73. S.-Y. Huang, L.-R. Huang, K.-H. (Hans) Tsai, and W.-T. Cheng, "Delay Testing and Characterization of Post-Bond Interposer Wires in 2.5-D ICs", Int'l Test Conf. (ITC), pp. 476-488, (Sept. 2013). 

  74. L.-R. Huang, S.-Y. Huang, K.-H. (Hans) Tsai, W.-T. Cheng, and S. Sunter, "Mid-Bond Interposer Wire Test", Int'l Asian Test Symp. (ATS), pp. 153-158, (Nov. 2013). 

  75. S.-Y. Huang, Z.-F. Zeng, K.-H. (Hans) Tsai, and W.-T. Cheng, "On-the-Fly Timing-Aware Built-In Self-Repair for High-Speed Interposer Wires in 2.5-D ICs",  Proc. of IEEE European Test Symp. (ETS), pp. 1-2, (May 2014).

  76. S.-Y. Huang, H.-X. Li, Z.-F. Zeng, K.-H. Tsai, and W.-T. Cheng, "On-Line Transition-Time Monitoring for Die-to-Die Interconnects in 3D ICs", Proc. of Asian Test Symp. (ATS), pp. 162-167, (Nov. 2014) (Best Paper Award)

  77. S.-Y. Huang, M.-T. Tsai, K.-H. Tsai, and W.-T. Cheng, "Feedback-Bus Oscillation Ring: A General Architecture for Delay Characterization and Test of Interconnects", Proc. of Design, Automation, and Test in Europe (DATE), pp. 924-927, (March 2015).

  78. S.-T. Tseng, Y.-H. Kao, C.-C. Peng, J.-Y. Liu, S.-C. Chu, G.-F. Hong, C.-H. Hsieh, K.-T. Hsu, W.-T. Liu, Y.-H. Huang, S.-Y. Huang, and T.-S. Chu, "A 65nm CMOS Low Power Impulse Radar for Respiratory Feature Extraction," Proc. of IEEE RFIC Symp., pp. 251-254, (May 2015).

  79. H.-X. Li, H.-C. Fu, S.-Y. Huang, J.-C. Jiang, D.-M. Kwai, and Y.-F. Chou, "Testing Power-Delivery TSVs", Proc. of Asian Symp. on Quality Electronic Design, pp. 127-131, (Aug. 2015).

  80. H.-C. Fu, S.-Y. Huang, D.-M. Kwai, and Y.-F. Chou, "Temperature-Aware Online Testing of Power-Delivery TSVs," Proc. of IEEE Int'l 3D System Integration Conf., TS10.3.1 - TS10.3.6, (Sept. 2015).

  81. M.-T. Tsai, S.-Y. Huang, K.-H. (Hans) Tsai, and W.-T. Cheng, "Monitoring the Delay of Long Interconnects via Distributed TDC," Proc. of IEEE Int'l Test Conf. (ITC), (Oct. 2015).

  82. J.-Y. Liu, S.-Y. Huang, T.-S. Chu, "Cell-Based Programmable Phase-Shifter Design for Pulsed Radar SoC",  Proc. of IEEE Int'l Conf. on ASIC, pp. 1-4, (Nov. 2015). (Invited)

  83. Y.-J. Liao and S.-Y. Huang, "Temperature Tracking Scheme for Programmable Phase-Shifter in Pulsed Radar SoC", Proc. of IEEE Symp. on VLSI Design, Automation, and Test (VLSI-DAT),  pp. 1-4, (April 2016).

  84. S.-F. Yang, S.-Y. Huang, K.-H. (Hans) Tsai, and W.-T. Cheng, "Testing of Small Delay Faults in a Clock Network," Proc. of IEEE European Test Symp. (ETS), pp. 1-6, (May 2016).

  85. S.-Y. Huang, T.-H. Huang, K.-H. Tsai, and W.-T. Cheng, "A Wide-Range Clock Signal Generation Scheme for Speed Grading of a Logic Core," Proc. of Int'l Conf. on High-Performance Computing & Simulation (HPCS), pp. 125-129, (July 2016).

  86. P.-C. Huang, S.-Y. Huang, "Cell-Based Delay Locked Loop Compiler," pp. 91-92, Proc. of Int'l SoC Design Conf., pp. 91-92, (Oct. 2016).

  87. C.-C. Zheng, S.-Y. Huang, S.-K. Lu, T.-C. Wang, K.-H. Tsai, and W.-T. Cheng, "Online Slack-Time Binning for IO-Registered Die-to-Die Interconnects," Proc. of IEEE Int'l Test Conf. (ITC), pp. 1-8, (Nov. 2016).

  88. S.-Y. Huang, C.-C. Zheng, "Die-to-Die Clock Skew Characterization and Tuning for 2.5D ICs," Proc. of IEEE Asian Test Symp. (ATS), Hiroshima, Japan, pp. 221-226, (Nov. 2016).

  89. S.-Y. Huang, "Pre-bond and Post-bond Testing of TSVs and Die-to-Die Interconnects", Proc. of IEEE Asian Test Symp., Hiroshima, Japan, pp. 80-85, (Nov. 2016). (Invited)

  90. S.-Y. Huang, "Test Strategies for the Clock and Power Distribution Networks in a Multi-Die IC", Proc. of IEEE Symp. on VLSI Design, Automation, and Test (VLSI-DAT),  pp. 1-2, (April 2017). (Invited)

  91. C.-H. Wu, S.-Y. Huang, M. Chern, Y.-F. Chou, and D.-M. Kwai, "A Resilient Cell-Based Architecture for Time-to-Digital Converter", Proc. of IEEE Int'l Symp. on VLSI (IS-VLSI), pp. 7-12, Bochum, Germany, pp. 7-12, (July 2017).

  92. C.-Y. Cheng, S.-Y. Huang, D.-M. Kwai, and Y.-F. Chou, "DLL-Assisted Clock Synchronization Method for Multi-Die ICs", Proc. of IEEE Int'l Conf. on Computer Design (ICCD), Boston, USA, pp. 473-476, (Nov. 2017).

  93. G.-H. Lian, S.-Y. Huang, and W.-Y. Chen, "Cloud-Based PVT Monitoring System for IoT Devices," Proc. of IEEE Asian Test Symp. (ATS), Taipei, Taiwan, pp. 76-81, (Nov. 2017).

  94. C.-H. Wu, S.-Y. Huang, Y.-F. Chou, and D.-M. Kwai, "Time-to-Digital Converter Compiler for Dynamic Voltage Drop Monitoring", IEEE Workshop on RTL and High-Level Testing (WRTLT), (Nov. 2017). (Best Paper Award)

  95. C.-E. Lee and S.-Y. Huang, "A Cell-Based Fractional-N Phase-Locked Loop Compiler," Proc. of IEEE Int'l Conf. on Synthesis, Modeling, Analysis, and Simulation Methods and Applications to Circuit Design (SMACD), pp. 273-276, (July 2018).

  96. Yu-Chi Wei and S.-Y. Huang, "A Folded Locking Scheme for the Long-Range Delay Block in a Wide-Range DLL", Proc. of IEEE Int'l SOC Design Conf, (ISOCC), pp. 90-91, (Nov. 2018). (Best Paper Award - DB HiTek Award)

  97. M. Chern, S.-W. Lee, S.-Y. Huang, Y. Huang, G. Veda, K.-H. Tsai, and W.-T. Cheng, "Improving Scan Chain Diagnostic Accuracy Using Multi-Stage Artificial Neural Networks", Proc. of IEEE Asian-Pacific Design Automation Conf, (ASP-DAC), (Jan. 2019).

  98. Z.-H. Zhang, W. Chu, and S.-Y. Huang, "The Ping-Pong Tunable Delay Line in A Super-Resilient Delay-Locked Loop," Proc. of IEEE Design Automation Conf. (DAC), (June 2019).

  99. W. Chu and S.-Y. Huang, "A Cell-Based Wide-Frequency-Range DLL Supporting Fast Frequency Scaling," Proc. of IEEE Int'l NEWCAS Conf., (June 2019).

  100. W. Chu and S.-Y. Huang, "Online Testing of Clock Delay Faults in a Clock Network," Proc. of IEEE Int'l Test Conf. in Asia, (ITC-Asia), pp. 163-168, (Sept. 2019).

  101. W. Chu and S-.Y. Huang, "Overall Strategy for Online Clock System Checking Supporting Heterogeneous Integration", Proc. of IEEE Int'l Test Conf., (ITC), at Washington DC, pp. 1-10, (Nov. 2019).

  102. W Chu, W.-H. Chen, and S.-Y. Huang, "Duty-Cycle Correction For a Super-Wide Frequency Range from 10MHz to 1.2GHz", Proc. of IEEE Int'l Conf. on Computer Design (ICCD), pp. 457-460, (Oct. 2020).

  103. D. Lin, J.-Y. Yang, S.-Y. Huang, "A Voting Phase Detector Design with Mitigated Process Variation", Proc. of IEEE Int'l SoC Design Conf. (ISOCC), pp. 91-92, (Oct. 2020).

  104. W.-H. Chen, C.-C. Hsu, and S.-Y. Huang, "Rapid PLL Monitoring By a Novel min-MAX Time-to-Digital Converter", Proc. of IEEE Int'l Test Conf., (ITC) @Washington DC, pp. 1-8, (Nov. 2020).

  105. S.-Y. Huang, "Overview of On-Chip Performance Monitors for Clock Signals", Proc. of IEEE Asian Test Symp. (ATS), pp. 1-4, (Nov. 2020). (Invited)

  106. J.-Y. Yang and S.-Y. Huang, "Fault and Soft Error Tolerant Delay-Locked Loop", Proc. of IEEE Asian Test Symp. (ATS), pp. 1-6, (Nov. 2020).

  107. C.-L. Tsai, W.-H. Chen, and S.-Y. Huang, "A Duty-Cycle Monitor Supporting A Wide Frequency Range of Clock Signal", Proc. of IEEE Int'l Test Conf. in Asia (ITC-Asia), (Aug. 2021).

  108. Y.-H. Lee and S.-Y. Huang, "Rigorous Test Flow for PLL to Identify Weak Devices", IEEE Int'l Test Conf. in Asia (ITC-Asia), (Aug. 2021).

  109. C.-L. Tsai and S.-Y. Huang, "Just-Enough Stress Test for Infant-Mortality Screening Using Speed Binning", Proc. of IEEE Int'l Test Conf., (ITC) @Anaheim, pp. 1-8, (Sept. 2022).

  110. Y.-C. Su and S-.Y. Huang, "Just-Enough Strategy for Accurate Clock Jitter Measurement Using A Cyclic Time-to-Digital Converter", Proc. of Int'l SoC Design Conf., (Oct. 2022).

  111. Y.-S. Wang, H.-K. Teng, and S.-Y. Huang, “Optimization of DCO Using Latch-Based Varactor Cells for a Cell-Based PLL”, Proc. of IEEE Midwest Symp. on Circuits and Systems, (Aug. 2023).

  112. Y.-H. Lee, W.-H. Chen, and S.-Y. Huang, "Self-Sufficient Clock Jitter Measurement Methodology Using Dithering-Based Calibration", Proc. of IEEE Int'l Test Conf. in Asia (ITC-Asia), (Sept. 2023).

  113. C.-L. Tsai and S.-Y. Huang, "Trustworthy Lifetime Prediction by Aging History Analysis and Multi-Level Stress Test",  Proc. of IEEE Int'l Test Conf. in Asia (ITC-Asia), (Sept. 2023).

  114. O.-D. Lin and S.-Y. Huang, "Cell-Based Aging Sensor Using Built-In Speed Grading",  Proc. of IEEE Nordic Circuits and Systems Conf., (Nov. 2023).

-------------------------------------------------------------------------------------------------------------------

專利 Patents

  1. 發明人: 黃錫瑜, 蒯定明, "記憶體元件之內置自行測試電路", 專利權人: 台灣積體電路製造股份有限公司, 2001/2/11

  2. 發明人: 黃錫瑜, 蒯定明, "Rambus DRAM 內建自我測試電路", 專利權人: 台灣積體電路製造股份有限公司, 2001/3/11

  3. 發明人: 黃錫瑜, 蒯定明, "記憶體之內置式測試電路及測試方法", 專利權人: 台灣積體電路製造股份有限公司, 2001/4/1

  4. US Patent: S.-Y. Huang and Ding-Ming Kwai, "Built-In-Self-Test Circuit for RAMBUS Direct RDRAM", Assignee: Worldwide Semiconductor Manufacturing Corp., Grant No: US6647524B1, Nov. 11, 2003.

  5. US Patent: S.-Y. Huang and Ding-Ming Kwai, "High Speed Built-In Self-Test Circuit for DRAMs", Assignee: Taiwan Semiconductor Manufacturing Corp. (TSMC), Grant No: US6351837B1, Nov. 11, 2003.

  6. 發明人: 黃錫瑜, 張凱翔, 翁嘉謙, 蘇明毅, "以晶片設計之暫存器傳送層為基礎的功率估算方法及電腦可讀取之記 錄媒體", 專利權人: 國立清華大學, 專利證號: TW093138923, July 21, 2006.

  7. US Patent: Huang et al., "Method and Computer Program Product for Register-Transfer-Level Power Estimation in Chip Design", Assignee: National Tsing Hua U., HsinChu, (TW), Paten No. US 7,370,299 B2, Date of Patent : May 6, 2008.

  8. 發明人: 黃錫瑜許軒榮涂竣傑Apparatus for built-in speed grading and method for generating desired frequency for the same內建式速度分級裝置及用於產生該裝置之所需頻率的方法國立清華大學, TW096112402

  9. 發明人: 賴亞群 (Ya-Chun Lai) 黃錫瑜 (Shi-Yu Huang), "去除靜態隨機存取記憶體漏電流影響之電路及方法 (APPARATUS AND METHOD FOR REMOVING IMPACT OF LEAKAGE CURRENT IN STATIC RANDOM ACCESS MEMORY)", (Feb. 21, 2010: TW096102615).

  10. (作為發明人之獲證專利) US Patent: US9720038B2, S.-Y. Huang, K.-H. Tsai, W.-T. Cheng, and J.-Y. Lee, "Method and Circuit of Pulse-Vanishing Test", Assignee: Mentor Graphics Corp.,(Granted on Aug. 1, 2017, Expired on June 16, 2035).

  11. (作為發明人之獲證專利) US Patent: 10317462, S.-Y. Huang, K.-H. Tsai, W.-T. Cheng, and T.-H. Huang, "Wide-Range Clock Signal Generation for Speed Grading of Logic Cores", Assignee: Mentor Graphics Corporation, Filed: May 11, 2017, (Granted on June 11, 2019, Expired on May 30, 2037).

  12. (作為發明人之獲證專利) 中華民國發明專利, "在線監測時脈信號的電子電路","ELECTRONIC CIRCUIT FOR ONLINE MONITORING A CLOCK SIGNAL", 黃錫瑜,陳韋豪,許竹均,Assignee: 國立清華大學, (公告日: 2021 年 5 月 21 日 , 期限: 2040 年 9 月 29 日 止。證書號為: I728920 號)
     

  13. (作為發明人之獲證專利) US Patent: "11,287,471 B1", Shi-Yu Huang, Wei-Hao Chen, and Chu-Chun Hsu, "Electronic Circuit for Online Monitoring A Clock Signal", Assignee: National Tsing Hua University, Granted on March 29, 2022).
     

  14. (作為發明人之獲證專利) 中華民國發明專利, "具有故障與軟錯誤容忍力的延遲鎖定迴路的電子裝置",黃錫瑜,楊 竣宇,Assignee: 國立清華大學。(專利期限: 2022 年 4 月 21 日 至 2040 年 10 月 11 日 止。證書號為: I761984 號)
     

  15. (作為發明人之獲證專利) US Patent: "11,190,192 B1", S.-Y. Huang and Jun-Yu Yang, "Electronic Device with Fault and Soft Error Tolerant Delay-Locked Loops", Assignee: National Tsing Hua University, Filed: Oct. 6, 2020, (Granted on Nov. 30, 2021).


清華大學 National Tsing-Hua University

清華大學電機系 Electrical Engineering Department

Prof. Shi-Yu Huang's Lab (實驗室)