List of Publications


Joseph Ya-min Lee  Ph. D. Physics, University of Maryland, USA, 1972

Semiconductor Devices, Integrated Circuits, Microelectronic materials
 
 

§õ¶®©ú¡]Joseph Ya-min Lee¡^½×¤å¥Ø¿ý         

(A) Refereed Publications:

1.     J. Y. M. Lee, "The de Haas-van Alphen Effect in Dilute Alloys of Thallium in lead," Bull. American Phys. Soc. Ser. II. 16, p.337 (1971).

 

2.     C. M. Wan, T. S. Lei, M. T. Jahn, J. Y. M. Lee and K. S. Liu, "Thermal Mechanical Treatments of Steel," Chinese Journal of Materials Science, Vol.5, No.3, pp.113-119 (1973).

 

3.     C. M. Wan, M. T. Jahn and J. Y. M. Lee, "The Investigation and Improvement on the Fatigue Life of Titanium Plunger Pumps," Chinese Journal of Materials Science, Vol.6. No.2, pp.55-61 (1974).

 

4.     J. Y. M. Lee and W. S. Liu, "The Growth of Silicon Single Crystals by the Czochalski Method," Chinese Journal of Materials Science, Vol.6, No.3, pp.134-138 (1974).

 

5.     J. Y. M. Lee, M. T. Jahn and C. M. Wan, "The Investigation and Improvement on the Tensile Strength of Prestressed Concrete Wire," Walsin Quarterly, Vol.2, No.1, pp.1-14 (1975).

 

6.     J. Y. M. Lee, C. R. Ma and S. E. Yeh, "The Design and Manufacture of a Czochalski Crystal Puller," National Science Council Monthly, Vol.3, No.8, pp.1721-1726 (1975).

 

7.     J. R. Anderson, J. Y. M. Lee and D. R. Stone, "The de Haas-van Alphen Effect of  Dilute Pb-Tl and Pb-Bi Alloys," Physical Review B, Vol.11, No.4, pp.1308-1314 (1975).

 

8.     C. M. Wan, M. T. Jahn, T. R. Jeng, J. Y. M. Lee and C. T. Hu, "The Strengthening Factors of Thermomechanically Treated High Carbon Steels," Journal of Materials Science, Vol.11, pp.2158-2161 (1976).

 

9.     S. C. Chang, M. T. Jahn, C. M. Wan, J. Y. M. Lee and T. K. Hsu, "The Determination of Tensile Properties from Hardness Measurements for Al-Zn-Mg Alloys," Journal of Materials Science, Vol.11, pp.623-630 (1976).

 

10.   M. K. Barnoski, B. Chen, T. R. Joseph, J. Y. M. Lee and O. G. Ramer, "Integrated-Optic Spectrum Analyzer," SPIE, Vol.209, pp.92-106 (1979).

 

11.   M. K. Barnoski, B. Chen, T. R. Joseph, J. Y. M. Lee and O. G. Ramer, "Integrated Optic Spectrum Analyzer," IEEE Trans. on Circuits and Systems, Vol.26, No.12, pp.1113-1124 (1979).

 

12.   B. Chen, T. R. Joseph, J. Y. M. Lee and T. R. Ranganath, "Integrated Optical Circuits for RF Spectrum Analysis," SPIE, Devices and Systems for Optical Signal Processing, Vol.218, pp.8-22 (1980).

 

13.   J. Y. M. Lee, "Reduction of Leakage Current of Large-area High-resistivity Silicon p-i-n Photodiode for Detection at 1.06 £gm," IEEE Trans. on Electron Devices, Vol.28, No.4. pp.412-416 (1981).

 

14.   M. D. Jack, J. Y. M. Lee and H. Lefevre, "DLTS Measurements of a Germanium MIS Interface," Journal of Electronic Materials, Vol.10, No.3, pp.571-589 (1981).

 

15.   J. Y. M. Lee and I. C. Cheng, "Electrical Properties of Lightly Doped Polycrystalline Silicon," Journal of Applied Physics, Vol.53, No.1, pp.490-495 (1982).

 

16.   T. R. Joseph, T. R. Ranganath, J. Y. M. Lee and M. Pedinoff," Performance of the Integrated Optic Spectrum Analyzer," SPIE, Integrated Optics, Vol.321, pp.134-140, paper 321-22 (1982).

 

17.   J. Y. M. Lee, D. C. Mayer and P. K. Vasudev, "A Low-Leakage VLSI CMOS/SOS Process with Thin Epi Layers," Microelectronics Journal, Vol.14, No.6, pp.5-12 (1983).

 

18.   D. C. Mayer, P. K. Vasudev, J. Y. M. Lee, Y. K. Allen and R. C. Henderson, "A Short-channel CMOS/SOS Technology in Recrystallized 0.3 £gm-thick Silicon-On-Sapphire Films," IEEE Electron Device Letters, Vol.5, No.5, pp.156-158 (1984).

 

19.   R. L. Kubena, J. Y. M. Lee, R. L. Jullens, R. G. Brault, P. L. Middleton and E. H. Stevens, "Si MOSFET Fabrication Using Focused Ion Beams," IEEE Trans. on Electron Devices, Vol.31, No.9, pp.1186-1189 (1984).

 

20.   J. Y. M. Lee, H. L. Garvin, C. W. Slayman and D. B. Rensch, "Fully-scaled NMOS Technology for VLSI Circuits," Microelectronics Journal, Vol.16, No.3, pp.34-40 (1985).

 

21.   J. Y. M. Lee, R. L. Kubena and G. Hagen, "Submicrometer Silicon MOSFETs Fabricated using Focused Ion Beam Lithography," IEEE Trans. on Electron Devices, Vol.33, No.2, pp.310-311 (1986).

 

22.   J. Y. M. Lee and R. L. Kubena, "Threshold Adjustments for Complementary Metal-Oxide-Semiconductor (CMOS) Optimization Using B and As Focused Ion Beams," Applied Physics Letters, Vol.48, No.10, pp.668-669 (1986).

 

23.   J. Y. M. Lee and F. Y. Wang, "Temperature Dependence of Carrier Transport in Polycrystalline Silicon," Microelectronics Journal, Vol.17, No.5, pp.23-32 (1986).

 

24.   J. Y. M. Lee, H. L. Garvin, and C. W. Slayman, "A High-speed High-density silicon 8x8 Parallel Multiplier," IEEE Journal of Solid State Circuits, Vol.22, pp.35-40 (1987).

 

25.   J. Y. M. Lee, C. W. Slayman, H. L. Garvin, R. E. Kastris and M. C. Montes, "A New Self-aligned VLSI Isolation Process Using Thin Metal Lift-off," IEEE Electron Device Letters, Vol.8, No.7, pp.309-311 (1987).

 

26.   J. Y. M. Lee, H. L. Garvin, G. Hagen and R. C. Henderson, "Fabrication of Submicrometer CMOS Circuits Using a Tri-layer Photolithographic Process,"         IEEE Electron Device Letters, Vol.8, No.9, pp.404-406 (1987).

 

27.   J. Y. M. Lee, W. M. Clark and M. W. Utlaut, "Multiple Threshold Voltage CMOS/SOS by Focused Ion beams," Solid State Electronics, Vol.31, No.2, pp.155-158 (1988).

 

28.   J. Y. M. Lee, K. Sooriakumar and M. M. Dange, "The Preparation, Characterization and Application of PECVD Silicon Nitride Films Deposited at Low Temperatures," Thin Solid Films, Vol.203, pp.275-287 (1991).

 

29.   S. W. Kang and J. Y. M. Lee, "Low-Temperature Degradation Studies of AlGaAs/GaAs Modulation-Doped Field Effect Transistors," Solid State Electronics, Vol.34, No.12, pp.1415-1419 (1991).

 

30.   M. M. Dange, J. Y. M. Lee and K. Sooriakumar, "New Applications of Low Temperature PECVD Silicon Nitride Films for Microelectronic Device Fabrication," Microelectronics Journal, Vol.22, pp.19-26 (1991).

 

31.   J. Y. M. Lee, M. M. Dange and K. Sooriakumar, "A Novel Lift-off Process Using Low Temperature Silicon Nitride for the Fabrication of Self-aligned Gate GaAs MESFETs and InP MISFETs," Microelectronic Engineering, Vol.18, pp.215-223 (1992).

 

32.   K. J. Lee, Y. J. Chang, J. Y. M. Lee and F. Y. Juang, "The Improvement of GaAs/AlGaAs Molecular Beam Epitaxial Growth by a Flux-Interruption-and-Annealing Method Using Phase-Locked RHEED Oscillation," Journal of Applied Physics, Vol.71, No.6, pp.2632-2635 (1992).

 

33.   C. F. Yu, J. Y. M. Lee, I. N. Lin, S. J. Yang and E. S. Hsu, "Fabrication of Bi(Pb)-Sr-Ca-Cu-O Superconducting Thin Films by Rapid Thermal Annealing", J. of Electronic Mat., Vol.21, No.10, pp.955-958 (1992).

 

34.   K. J. Lee, J. Y. M. Lee and Y. J. Chang, "Atomic Layer Epitaxy by a Flux-Interruption-and-Annealing Method and the Analysis of Reflection High-Energy Diffraction Oscillation Overshoot in the Molecular Beam Epitaxial Growth of GaAs," Journal of Applied Physics, Vol.73, pp.3291-3294 (1993).

 

35.   M. H. Yeh, Y. C. Liu, K. S. Liu, I. N. Lin, J. Y. M. Lee ad H. F. Cheng, "Electrical Characteristics of Barium Titanate Flims Perpared by Laser Ablation for Memory Application," Journal of Applied Physics, Vol.74, pp.2143-2145  (1993).

 

36.   J. T. Lai and J. Y. M. Lee, "Pd/Ge Ohmic Contacts to N-type GaAs Formed by Rapid Thermal Annealing," Applied Physics Letters, Vol.64, pp.229-231 (1994).

 

37.   J. T. Lai and J. Y. M. Lee, "AlGaAs/GaAs Charge Injection Transistor (CHINT)/Negative Resistance Field Effect Transistor (NERFET) Fabricated with Shallow Pd/Ge Ohmic Contact," Applied Physics Letters, Vol.64, pp.306-308 (1994).

 

38.   J. T. Lai and J. Y. M. Lee, "Redistribution of Constituent Elements in Pd/Ge Contacts to n-type GaAs Using Rapid Thermal Annealing", J. Appl. Phys., Vol.76, pp.1686-1690 (1994).

     

39.   J. T. Lai and J. Y. M. Lee, "Enhancement of Electron Transfer and Negative Differential Resistance in GaAs-based Real-space Transfer Devices by Using Strained InGaAs Channel Layers," J. Appl. Phys., Vol.76, pp.1965-1967 (1994).

 

40.   C. S. Huang, I. N. Lin, J. Y. M. Lee and T. Y. Tseng, "Growth Behavior of Y1Ba2Cu3O7-x Superconducting Thin Films Using Laser Ablation Technique,"     Jap. J. Appl. Phys., Vol.33, pp.4058-4065 (1994).

 

41.   J. T. Lai and J. Y. M. Lee, "Ultrahigh and Controllable Drain Current Peak-to- valley Ratio in Negative Resistance Field-effect Transistors with a Strained InGaAs Channel," IEEE Electron Device Letters, Vol.15, No.9, pp.333-335 (1994).

 

42.   J. T. Lai and J. Y. M. Lee, "Enhanced Electron Transfer in Real-space Transfer Devices by Using Strained InxGa1-xAs (x=0.15, 0.25) Channel Layers," J. of Crystal Growth, Vol.150, pp.1379-1383 (1995).

 

43.   J. T. Lai and J. Y. M. Lee, "Enhanced Real-Space Electron Transfer in Charge Injection Transistors with Source-Channel Heterojunctions Formed by Graded AlxGa1-xAs Layer and Shallow Pd/Ge Ohmic Contacts," Applied Physics Letters, Vol.66, pp.1779-1781 (1995).

 

44.   S. Y. Deng, J. Y. M. Lee, J. T. Lai, Y. D. Chih, T. P. Sun and H. M. Hong, "Front-illuminated Long Wavelength Multiple Quantum Well Infrared Photodetectors with Backside Gratings," J. Appl. Phys., Vol.78, pp.6822-6825 (1995).

 

45.   J. T. Lai, Y. H. Yeh and J. Y. M. Lee, "Light emitting real-space transfer devices fabricated with strained GaAs/In0.2Ga0.8As/AlGaAs heterostructures," Electronics Letters, Vol.32, No.11, pp.1041-1042 (1996).

 

46.   H. M. Chen, J. M. Lan, J. L. Chen and J. Y. M. Lee, "Time-dependent and trap- related current conduction mechanism in ferroelectric Pb(ZrxTi1-x)O3 films," Applied Physics Letters, Vol.69, No.12, pp.1713-1715 (1996).

 

47.   Y. H. Yeh, J. T. Lai and J. Y. M. Lee, "Low contact-resistance and shallow Pd/Ge ohmic contacts to n-In0.53Ga0.47As on InP substrate formed by rapid thermal annealing," Japanese Journal of Applied Physics, Vol.35, No.12A, pp.1569-1571 (1996).

 

48.   J. L. Chen, H.M. Chen and J. Y. M. Lee, "An investigation on the leakage current and time dependent dielectric breakdown of ferroelectric lead-zirconate-titanate thin film capacitors for memory device applications," Applied  Physics Letters, Vol.69, No.26, pp.4011-4013 (1996).

 

49.   Y. H. Yeh, J. T. Lai and J. Y. M. Lee, "Optical characterization of real-space hot-electron transfer in a strained GaAs/In0.2Ga0.8As/GaAs quantum well heterostructure," Journal of Applied Physics, Vol.81, No.8, pp.3607-3610 (1997).

 

50.   F. C. Chiu, J. J. Wang, J. Y. M. Lee and S.C. Wu, "Leakage currents in amorphous Ta2O5 thin films," Journal of Applied Physics, Vol.81, No.10, pp.6911-6915 (1997).

 

51.   Y. H. Yeh and J. Y. M. Lee, "The calculation and the photoluminescence characterization of energy levels in a strained GaAs/In0.2Ga0.8As/GaAs quantum    well heterostructure for the application of light-emitting real-space transfer devices," Journal of Applied Physics, Vol.81, No.10, pp.6921-6927 (1997).

 

52.   H. M. Chen and J. Y. M. Lee, "The temperature dependence of the transient current in ferroelectric Pb(ZrxTi1-x)O3 thin films for memory devices applications," Journal of Applied Physics, Vol.82, No.7, pp.3478-3481 (1997).

 

53.   H. M. Chen and J. Y. M. Lee, "Electron trapping process in ferroelectric lead-zirconate-titanate thin film capacitors," Applied Physics Letters, Vol.73, No.3, pp.309-311 (1998).

 

54.   H. M. Chen, S. W. Tsaur and J. Y. M. Lee, "Leakage current characteristics of        lead-zirconate-titanate thin film capacitors for memory device applications," Jap. J. of Applied Physics, Vol.37, pp.4056-4060 (1998).

 

55.   S. C. Huang, H. M. Chen, S.C. Wu and J. Y. M. Lee, "The time dependent dielectric breakdown of paraelectric barium-strontium-titanate thin film capacitors for memory device applications," Journal  of Applied Physics, Vol.84, pp.5155-5157 (1998).

 

56.   B. C. M. Lai and J. Y. M. Lee, "Leakage current mechanisms of Metal-Ta2O5-metal capacitors for memory device applications," Journal of the Electrochemical Society, Vol.146, No.1, pp.266-269 (1999)

 

57.   B. C. M. Lai, N.H. Kung and J. Y. M. Lee, "A study on the capacitance-voltage characteristics of metal-Ta2O5-silicon capacitors for very large scale integration metal-oxide-semiconductor gate oxide applications," Journal of Applied Physics, Vol.85, No.8, pp.4087-4090 (1999)

 

58.   J. S. Shiue, J. Y. M. Lee and  T. S. Chao, "A study of interface trap generation by Fowler-Nordheim and substrate carrier stresses for 4 nm thick gate oxides," IEEE Transactions on Electron Devices, Vol.46, No.8, pp.1705-1710 (1999)

 

59.   T. K. Kundu and J. Y. M. Lee, ¡§The thickness dependent electrical properties of Pb(Zr, Ti)O3 thin film capacitors for memory device application¡¨, Journal of Electrochemical Society, Vol.147, pp.326-329 (2000).

 

60.   Y. B. Lin and J. Y. M. Lee, ¡§The temperature dependence of the conduction current in Ba0.5Sr0.5TiO3 thin film capacitors for memory device applications¡¨, Journal of Applied Physics, Vol.87, No.4, pp.1841-1843 (2000).

 

61.   T. K. Kundu and J. Y. M. Lee, ¡§Thickness dependence of the time dependent dielectric breakdown characteristics of Pb(Zr, Ti)O3 thin film capacitors for memory device application¡¨, Japanese Journal of Applied Physics, Vol.39, pp.3488-3491 (2000).

 

62.   J. C. Yu, B. C. M. Lai and J. Y. M. Lee, ¡§The fabrication and characterization of metal-oxide-semiconductor field effect transistors and gated diodes using Ta2O5 gate oxide¡¨, IEEE Electron Device Letters, Vol.21, No.11, pp.537-539 (2000).

 

63.   C. Y. Sze and J. Y. M. Lee, ¡§The electrical characterization of metal-ferroelectric (PbZrxTi1-xO3)-insulator(Ta2O5)-silicon structure for non-volatile memory applications¡¨, Journal of Vacuum Science and Technology B, Vol.18, No.6, pp.2848-2850 (2000).

 

64.   B. C. M. Lai and J. Y. M. Lee, ¡§The observation of negative transconductance effect caused by real-space-transfer of electrons in metal oxide semiconductor field effect transistors fabricated with Ta2O5 gate dielectric¡¨, IEEE, Electron Device Letters, Vol. 22, No.3, pp.142-144 (2001).

 

65.   B. C. M. Lai, J. C. Yu and J. Y. M. Lee, ¡§Ta2O5/silicon barrier height measured from MOSFETs fabricated with Ta2O5 gate dielectric¡¨, IEEE Electron Device Letters, Vol.22, No.5, pp.221-223 (2001).

 

66.  S. T. Chang and J. Y. M. Lee, ¡§Electrical conduction mechanism in high-dielectric-constant (Ba0.5,Sr0.5)TiO3 thin films¡¨, Appl. Phys. Lett., Vol.80, No.4, pp.655-657 (2002).

 

67.   J. Y. M. Lee and B. C. M. Lai, ¡§The electrical properties of high dielectric constant and ferroelectric thin films for very large scale integration (VLSI) circuits,¡¨ Handbook of Thin Films Materials, Vol.3, Ferroelectric and Dielectric Thin Films, ed. by H. S. Nalwa, pp.1-98, Academic Press (2002).

 

68.   S. Y. Deng, C. H. Wu, and J. Y. M. Lee, ¡§A Study on transient effect due to hydrogen passivation in InGaP HBTs¡¨, IEEE Electron Device Lett., Vol.24, No.6, pp.372-374 (2003).

 

69.   P. C. Juan, S. M. Chen and J. Y. M. Lee, ¡§Temperature dependence of the current conduction mechanisms in ferroelectric Pb(Zr0.53,Ti0.47)O3 thin films¡¨, Journal of Applied Physics, Vol.95, No.6, pp.3120-3125 (2004).

 

70.   A. K. Maity, J. Y. M. Lee, A. Sen and H. S. Maiti, ¡§Negative differential resistance in ferroelectric lead zirconate titanate thin films: influence of interband tunneling on leakage current¡¨, Japanese Journal of Applied Physics, Vol.43, No.10, pp.7155-7158 (2004).

 

71.   T. C. Wang, T. E. Hsieh, M. T. Wang, D. S. Su, C. H. Chang, Y. L. Wang and J. Y. M. Lee, ¡§Stress migration and electromigration improvement for copper dual damascene interconnection¡¨, Journal of Electrochemical Society, Vol.152, pp.G45-G49 (2005).

 

72.   F. C. Chiu, S. A. Lin, and J. Y. M. Lee, ¡§Electrical properties of metal-HfO2-silicon system measured from metal-insulator-semiconductor capacitors and metal-insulator-semiconductor field effect transistors using HfO2 gate dielectric¡¨, Microelectronics Reliability, Vol.45, No.5-6, pp.961-964 (2005).

 

73.   M. T. Wang, T. H. Wang and J. Y. M. Lee, ¡§Electrical conduction mechanism in high-dielectric-constant ZrO2 thin films¡¨, Microelectronics Reliability, Vol.45, No.5-6, pp.969-972 (2005).

 

74.   P. C. Juan, H. C. Chou and J. Y. M. Lee, ¡§The effect of electrode material on the electrical conduction of metal-Pb(Zr0.53,Ti0.47)O3-metal thin film capacitors¡¨, Microelectronics Reliability, Vol.45, No.5-6, pp.1003-1006 (2005).

 

75.   F. C. Chiu, Z. H. Lin, C. W. Chang, C. C. Wang, K. F. Chuang, C. Y. Huang, J. Y. M. Lee and H. L. Hwang, ¡§Electrical conduction mechanism and band diagram of sputter deposited Al/ZrO2/Si structure¡¨, Journal of Applied Physics, Vol.97, No.3, 034506, pp.1-4 (2005).

 

76.   M. T. Wang, T. H. Wang, and J. Y. M. Lee, ¡§Electrical conduction mechanism in metal-ZrO2-silicon capacitor structures¡¨, Journal of Electrochemical Society, Vol.152, pp.G182-G185 (2005).

 

77.   F. C. Chiu, H. W. Chou, and J. Y. M. Lee, ¡§Electrical conduction mechanism of metal/La2O3/Si structure¡¨, Journal of Applied Physics, Vol. 97, 103503, pp.1-5 (2005).

 

78.   M. T. Wang, S. Y. Deng, T. H. Wang, B. Y. Y. Cheng and J. Y. M. Lee, "The ohmic conduction mechanism in high-dielectric-constant ZrO2 thin films", Journal of Electrochemical Society, Vol.152, No.7, pp.G542-G544 (2005).

 

79.   P. C. Juan, Y. P. Hu, F. C. Chiu and J. Y. M. Lee, "The electrical properties of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator-silicon (MFIS) capacitors with different insulator materials", Microelectronic Engineering," Vol.80, pp.309-312 (2005).

 

80.   P. C. Juan, Y. P. Hu, F. C. Chiu, and J. Y. M. Lee, "The Charge Trapping Effect of Metal¡VFerroelectric (PbZr0.53Ti0.47O3)¡VInsulator (HfO2)¡VSilicon (MFIS) Capacitors," Journal of Applied Physics, Vol.98, 044103, pp.1-6 (2005).

 

81.   F. C. Chiu, S. K. Fan, K. C.  Tai, J. Y. M. Lee and Y. C. Chou, "Electrical Characterization of Tunnel Insulator in Metal/Insulator Tunnel Transistors Fabricated by Atomic Force Microscope," Applied Physics Letters, 87, 243506, pp.1-3 (2005).

 

82.   T. K. Kundu and J. Y. M. Lee, "Temperature dependent electrical conduction in PZT thin film capacitors," Ferroelectrics, Vol. 328, pp.53-58 (2005).

 

83.   M. T. Wang, T. H. Wang, B. Y. Y. Cheng and J. Y. M. Lee, Temperature dependence of the tunnel-emission conduction current in metal-ZrO2-silicon capacitor structures, Journal of the Electrochem. Soc., Vol.153, F8-F11 (2006).

 

84.   C. Y. Chang, P. C. Juan and J. Y. M. Lee, "Fabrication and Characterization of Metal¡VFerroelectric (PbZr0.53Ti0.47O3)¡VInsulator (Dy2O3)¡VSemiconductor Capacitors for Nonvolatile Memory Applications," Applied Physics Letters, Vol.88, 072917, pp.1-3 (2006).

 

85.   P. C. Juan, C. Y. Chang and J. Y. M. Lee, "A New Metal¡VFerroelectric (PbZr0.53Ti0.47O3)¡VInsulator (Dy2O3)¡VSemiconductor (MFIS) Field Effect Transistor for Nonvolatile Memory Applications," IEEE Electron Device Letters, Vol.27, No.4, pp.217-220 (2006).

 

86.   S. Y. Deng, C. H. Wu and J. Y. M. Lee, "Hydrogen-induced transient effect in carbon doped InGaP hetero-junction bipolar transistors", Microelectronics Journal, Vol.37, pp. 678-680 (2006).

 

87.   M. T. Wang, B. Y. Y. Cheng and J. Y. M. Lee, "Temperature-dependent degradation mechanisms of channel mobility in ZrO2-gated n-channel metal-oxide-semiconductor field-effect transistors," Applied Physics Letters, Vol.88, 242905, pp.1-3 (2006).

 

88.   S. C. Chang, S. Y. Deng and J. Y. M. Lee, "Electrical Characteristics and Reliability Properties of Metal-Oxide-Semiconductor Field-Effect Transistors with Dy2O3 Gate Dielectric," Applied Physics Letters, Vol.89, 053504, pp.1-3 (2006).

 

89.   C. H. Hsu, M. T. Wang, and J. Y. M. Lee, "Electrical characteristics and reliability properties of metal-oxide-semiconductor field effect transistors with La2O3 gate dielectric," J. of Appl. Phys. Vol.100, 074108, pp.1-3 (2006).

 

90.   F. C. Chiu, W. C. Shih, J. Y. M. Lee, H. L. Hwang, "An investigation on capture cross-section of surface state of metal-oxide-semiconductor field-effect transistors using HfO2 gate dielectric," Microelectronics Reliability, Vol.47, pp.548-551 (2007).

 

91.   Y. D. Su, W. C. Shih and J. Y. M. Lee, "The characterization of retention properties of metal-ferroelectric(PbZrTiO3)-insulator(Dy2O3, Y2O3)-semiconductor devices," Microelectronics Reliability, Vol.47, pp.619-622 (2007).

 

92.   H. H. Hsu and J. Y. M. Lee, "Electrical characterization of metal-oxide-high k-dielectric-oxide-semiconductor (MOHOS) structure for memory applications," Microelectronics Reliability, Vol.47, pp.606-609 (2007).

 

93.   J. Y. M. Lee, F. C. Chiu and P. C. Juan, "The application of high-dielectric constant and ferroelectric thin films in integrated circuit technology," review chapter in Handbook of Nanoceramics and Their Based Nanodevices, edited by T. Y. Tseng and N. S. Nalwa, to be published.

 

94.   D. C. Hsu, M. T. Wang, J. Y. M. Lee and P. C. Juan, "Electrical characteristics and reliability properties of metal-oxide-semiconductor field-effect transistors with ZrO2 gate dielectric," Journal of Applied Physics, Vol.101, 094105, pp.1-4 (2007).

 

95.   P. C. Juan, J. D. Chiang, W. C. Shih and J. Y. M. Lee, "The effect of annealing temperature on the electrical properties metal-ferroelectric (PbZr0.53Ti0.47O3)- Insulator (ZrO2)-Semiconductor (MFIS) thin film capacitors," Microelectronic Engineering, Vol.84, pp.2014-2017 (2007).

 

96.   H. H. Hsu, I. Y. K. Chang, and J. Y. M. Lee, "Metal-oxide-high-k dielectric-oxide -semiconductor (MOHOS) capacitors and field-effect transistors for memory applications," IEEE Electron Device Letters, Vol.28, pp.964-966 (2007).

 

97.   Y. D. Su, W. C. Shih and J. Y. M. Lee, "The effect of band offset on the retention properties of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (Dy2O3,Y2O3)-semiconductor capacitors and filed effect transistors," Applied Physics Letters, Vol.91, 122902, pp.1-3 (2007).

 

98.   C. H. Chen, I. Y. K. Chang F. C. Chiu, Y. K. Chiou, T. B. Wu and J. Y. M. Lee, "Reliability properties of metal-oxide-semiconductor capacitors using HfO2 high-k dielectric," Applied Physics Letters, Vol.91, 123507, pp.1-3 (2007).

 

99.   H. S. Ho, I. Y. K. Chang and J. Y. M. Lee, "The temperature dependence of the electron mobility degradation mechanisms in n-channel metal-oxide- semiconductor field effect transistors with ZrO2 and Sm2O3 gate dielectrics," Applied Physics Letters, Vol.91, 173510, pp.1-3 (2007).

 

100. C. H. Cheng and J. Y. M. Lee, "Metal-high-k-high-k-oxide-semiconductor capacitors and filed effect transistors using Al/La2O3/Ta2O5/SiO2/Si structure for nonvolatile memory applications," Applied Physics Letters, Vol.91, 192903, pp.1-3 (2007).

 

101. W. C. Shih, K. Y. Kang and J. Y. M. Lee, "The improvement of retention time of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (ZrO2)-semiconductor transistors and capacitors by leakage current reduction using surface treatment," Applied Physics Letters, Vol.91, 192906, pp.1-3 (2007).

 

102. I. Y. K. Chang, C. H. Chen, F. C. Chiu and J. Y. M. Lee, "The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics," Applied Physics Letters, Vol.91, 203517, pp.1-3 (2007).

 

103. W. C. Shih, K. Y. Kang and J. Y. M. Lee, "The improvement of retention time of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (Y2O3)-semiconductor transistors by surface treatments", Applied Physics Letters, Vol.91, 232908, pp.1-3 (2007).

 

104. H. L. Hwang, Y. K. Chiou, C. H. Chang, C. C. Wang, K. Y. Lee, T. B. Wu, R. N. Kwo, M. H. Hong, K. S. Chang-Liao, C. Y. Lu, C. C. Lu, F. C. Chiu, C. H. Chen, J. Y. M. Lee, and A. Chin, "Advance in next century nanoCMOSFET research," Applied Surface Sciences, Vol.254, pp.236-241 (2007).

 

105. C. H. Chen, I. Y. K. Chang, F. C. Chiu and J. Y. M. Lee, "Electrical characterization of CeO2/Si interface properties of metal-oxide-semiconductor field-effect transistors with CeO2 gate dielectric," Applied Physics Letters, Vol.92, 043507, pp.1-3 (2008).

 

106. W. C. Shih, P. C. Juan, and J. Y. M. Lee, "Fabrication and characterization of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (Y2O3)-semiconductor field effect transistors for nonvolatile memory applications," J. Appl. Phys., Vol.103, 094110, pp.1-5 (2008).

 

107. D. C. Hsu, I. Y. K. Chang, M. T. Wang, P. C. Juan, Y. L. Wang,  and J. Y. M. Lee, "The positive bias temperature instability of n-channel metal-oxide-semiconductor field-effect transistors with ZrO2 gate dielectric," Applied Physics Letters, Vol.92, 202901, pp.1-3 (2008).

 

108. W. C. Shih and Joseph Y. M. Lee, "The improvement on retention time of metal-ferroelectric(PbZr0.53Ti0.47O3)-insulator(ZrO2)-semiconductor capacitors by surface treatment," Integrated Ferroelectrics, Vol.98, pp.113-120 (2008).

 

109. Y. R. Hwang, I. Y. K. Chang, M. T. Wang and J. Y. M. Lee, "The fabrication and characterization of metal-oxide-silicon capacitors and field-effect transistors using Dy2O3 and Sm2O3 gate dielectrics," Integrated Ferroelectrics, Vol.97, pp.111-120 (2008).

 

110. I. Y. K. Chang, Y. R. Hwang, P. C. Juan and J. Y. M. Lee, "Temperature dependence of the current conduction mechanisms in Sm2O3 thin films," Journal of the Electrochemical Society, Vol.155, No.12, pp.G265-G268 (2008).

 

111. I. Y. K. Chang and J. Y. M. Lee, "Temperature dependence of the current conduction mechanisms in LaAlO3 thin films," Applied Physics Letters, Vol.93, 223503, pp.1-3 (2008).

 

112. I. Y. K. Chang, S. W. You, P. C. Juan, M. T. Wang and J. Y. M. Lee, "The electrical and interfacial properties of metal-high-k oxide-semiconductor field-effect transistors with LaAlO3 dielectric," IEEE Electron Device Letters, Vol.30, pp.161-164 (2009).

 

113. T. P. C. Juan , C. L. Lin, W. C. Shih, C. C. Yang, J. Y. M. Lee, D. C. Shye, and J. H. Lu, "Fabrication and characterization of metal-ferroelectric (PbZr0.6Ti0.4O3)-insulator (La2O3)-semiconductor capacitors for non-volatile memory applications," Journal of Applied Physics, Vol.105, 061625, pp.1-6 (2009).

 

114. C. M. Lin, W. C. Shih and J. Y. M. Lee, "The Electrical Characteristics of Metal-Ferroelectric (BiFeO3)-Insulator (Y2O3)-Semiconductor Capacitors and Field-Effect Transistors," J. Vac. Sci. Tech. B, Vol.27, pp.369-372 (2009).

 

115. C. M. Lin, W. C. Shih, I. Y. K. Chang, P. C. Juan, and J. Y. M. Lee, "Metal-ferroelectric (BiFeO3)-insulator (Y2O3)-semiconductor capacitors and field effect transistors for nonvolatile memory applications," Applied Physics Letters, Vol.94, 142905, pp.1-3 (2009).

 

116. P. C. Chan, W. C. Shih, I. Y. K. Chang, and J. Y. M. Lee, "Electrical properties of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (Y2O3)-silicon capacitors and field-effect transistors," J. Vac. Sci. Tech. B, Vol.27, pp.1026-1029 (2009).

 

117. I. Y. K. Chang, S. W. You, M. G. Chen, P. C. Juan, C. H. Chen, and J. Y. M. Lee, "Degradation mechanisms of electron mobility in metal-oxide-semiconductor field-effect transistors with LaAlO3 gate dielectric," accepted by Journal of Applied Physics for publication.

 

118. B. C. Chan, I. Y. K. Chang, L. Y. Yeh, C. H. Chen, P. C. Juan and J. Y. M. Lee,  ¡§Al/Pb(Zr0.53Ti0.47)O3/polycrystalline silicon/insulator(Y2O3)/Si field effect transistors for nonvolatile memory applications¡¨, APL in revision

 

(B) Conference Papers:

1.     A. S. Yue and J. Y. M. Lee, "Mechanical Behavior of Eutectic Composites," 105th AIME Meeting, Technical Digest, pp. 69, Las Vegas, Nevada (1976).

 

2.     J. Y. M. Lee, R. D. Smith and D. O. Massetti, "Reduction of Leakage Current of Large-area High-resistivity Silicon Photodiodes," Electrochemical Society Meeting, Technical Digest, pp. 270-272, Boston, Massachusetts (1979).

 

3.     M. D. Jack, J. Y. M. Lee and H. Leferve, "DLTS Measurements of a Germa-nium M-I-S Interface," 21st Electronic Materials Conference, Boulder, Colorado (1979).

 

4.     G. Domingo, M. D. Jack, J. Y. M. Lee and V. T. Bly, "Near IR AC-Coupled CCD Detector Array," International Conference on Charge-coupled Devices, Glasgow, United Kingdom (1980).

 

5.     J. Y. M. Lee and B. Chen, "Detector Array for an Integrated Optic Spectrum Analyzer," Electrochemical Society Meeting, Technical Digest, pp. 416-418,   St. Louis, Missouri (1980).

 

6.     J. Y. M. Lee, I. C. Cheng and J. L. Gates, "Electrical Properties of Lightly Doped Polycrystalline Silicon," Electrochemical Society Meeting, Technical Digest, pp. 488-490, St. Louis, Missouri (1980).

 

7.     T. R. Ranganath, T. R. Joseph and J. Y. M. Lee, 'The Integrated Optic Spectrum Analyzer-A First Demonstration," IEEE International Electron Devices Meeting, Technical Digest, pp. 843 (1980).

 

8.     J. Y. M. Lee, R. H. Brown, R. D. Etchells, J. Grinberg, G. R. Nudd and P. A. Nygaard, "Aluminum Thermomigration Technology for 3-dimensional Integrated Circuits," IEEE International Electron Devices Meeting, Technical Digest, pp. 66 (1981).

 

9.     J. Y. M. Lee, D. C. Mayer and P. K. Vasudev, "A Low-Leakage VLSI CMOS/SOS Process with Thin Epi Layers," IEEE International Electron Devices Meeting, Technical Digest, pp. 376-379 (1983).

 

10.   R. L. Kubena, J. Y. M. Lee, R. A. Jullens, R. G. Brault, P. L. Middleton and E. H. Stevens, "Silicon MOSFET Fabrication Using Focused Ion Beams," IEEE  International Electron Devices Meeting, Technical Digest, pp. 566-569 (1983).

 

11.   R. L. Kubena, J. Y. M. Lee and R. A. Jullens, "A Review of Recent Developments in Focused Ion Beam Applications," IEEE VLSI Symposium, Technical Digest, pp. 68-69 (1984).

 

12.   J. Y. M. Lee, H. L. Garvin, C. W. Slayman and R. P. Mento, "A 8x8 Bit Parallel  Multiplier in Submicron Technology," IEEE International Solid State      Circuits Conference, Technical Digest, pp. 84-85 (1985).

 

13.   Y. H. Chen, Y. J. Chang, J. Y. M. Lee, K. J. Lee, J. F. Lin and T. L. Lee, "Long Wavelength GaAs/AlGaAs Quantum Well Infrared Detectors," Proceedings of the 1991 annual conference of the Chinese Society for Material Science, pp. 378-379 (1991).

 

14.   T. L. Lee, Y. J. Chang, J. Y. M. Lee, J. F. Lin, K. J. Lee and Y. H. Chen, "The Growth of Lattice-matched InGaAs and InAlAs Epilayers on InP by MBE," Proceedings of the 1991 annual conference of the Chinese Society for Material Science, pp. 376-377 (1991).

 

15.   K. J. Lee, Y. J. Chang, J. Y. M. Lee, J. F. Lin, Y. H. Chen and T. L. Lee, "The In-situ Annealing of Molecular Beam Epitaxial Layer by Beam Flux Interruption of Group 3 Elements," Proceedings of the 1991 annual conference of the Chinese Society for Material Science, pp. 438-439 (1991).

 

16.   G. S. Huang, I. N. Lin, J. Y. M. Lee and J. T. Shy, "Preparation of Supercon-ducting Thin Films by Nd: YAG Laser Ablation," Proceedings of the 1991 annual conference of the Chinese Society for Material Science, pp. 278-279 (1991).

17.   C. F. Yu, J. Y. M. Lee, I. N. Lin, S. J. Yang and S. E. Hsu, "Rapid Thermal Annealing of Bi1.6Pb0.4Sr2CaCu2Ox Superconducting Thin Films," Proceedings of the 1991 annual conference of the Chinese Society for Material Science, pp.   330-331 (1991).

 

18.   J. F. Lin, Y. J. Chang, J. Y. M. Lee, K. J. Lee and J. T. Lai, "The Design and Fabrication of Heavily Doped Channel MESFETs, " Proceedings of the 1991 EDMS meeting, pp. 380-383 (1991).

 

19.   Y. C. Liu, J. Y. M. Lee, I. N. Lin, M. H. Yeh, K. S. Liu and H. F. Cheng, "The Electrical and Reliability Characteristics of Laser-Ablation Deposited Barium Titanate Thin Films for Memory Device Application," 1992 International Electron Devices and Materials Symposium, pp. 384-387 (1992).

 

20.   Y. D. Chih. J. T. Lai and J. Y. M. Lee, "Exchange Interaction in 10 £gm AlGaAs/GaAs Multiple Quantum Well Infrared Detectors," 1992 International Electron Devices and Materials Symposium, pp. 556-558 (1992).

 

21.   C. N. Lee and J. Y. M. Lee, "A Study of the Electrical Characteristics and Adhesion Properties of Refractory Molybdenum and Tungsten Thin Films on GaAs Before and After Rapid Thermal Processing," 1992 International Electron Devices and Materials Symposium, pp. 581-584 (1992).

 

22.   J. J. Wang, J. Y. M. Lee, M. H. Yeh, I. N. Lin, Y. C. Yang and K. S. Liu, "Electrical Properties of Paraelectric Strontium Titanate Thin Films Prepared by XeCl Excimer Laser Ablation," 1993 Electronic Devices and Materials Symposium, pp. 88-91 (1993).

 

23.   S. Y. Deng, J. Y. M. Lee and J. T. Lai, "Front-illuminated Quantum Well Infrared Photodetector with Backside Grating Designed for 10 £gm Detection,"    1993 Electronic Devices and Materials Symposium, pp. 188-191 (1993).

         

24.   J. T. Lai and J. Y. M. Lee, "High Drain Current Peak-to-valley Ratios in In0.15Ga0.85As Channel Real-space Transfer Transistors," 1994 International Electron Devices and Materials Symposium, section 3-5, pp. 22-25 (1994).

 

25.   J. Y. Hsu, J. Y. M. Lee, J. J. Wang, L. Y. Yeh, J. T. Lai and J. Gong,      "Electrical  Properties of Barium Titanate Ferroelectric Thin Films Fabricated by RF Magnetron Sputtering for Memory Device Applications," 1994 International Electron Devices and Materials Symposium, section11-32, pp. 128-131 (1994).

 

26.   L. Y. Yeh, J. J. Wang, J. Y. M. Lee, J. Y. Hsu, J. T. Lai and Y. S. Chang, "High Dielectric Constant Ta2O5 Thin Films Prepared by RF Magnetron Sputtering for Dynamic Random Access Memory Applications," 1994 International Electron Devices and Materials Symposium, section 11-33, pp. 132-135 (1994).

27.   J. T. Lai and J. Y. M. Lee," Large Electron Transfer and Strong Negative Differential Resistance in Strained InGaAs Channel Real-space Transfer Transistors," Extended Abstracts of The 1994 International Conference on Solid State Devices and Materials, Yokohama, Japan, pp. 447-449 (1994).

 

28.   J. T. Lai and J. Y. M. Lee, "Enhanced Electron Transfer in Real-space Transfer Devices by Using Strained InxGa1-xAs(x=0.15, 0.25) Channel Layers," International Conference on Molecular Beam Epitaxy, Osaka, Japan, pp. 456-457 (1994).

         

29.   J. Y. Hsu, J. Y. M. Lee, J. J. Wang, L. Y. Yeh, J. T. Lai and J. Gong, "Ferroelectric Barium Titanate Capacitors Fabricated by RF Sputtering for Memory Device Applications," The 1994 International Conference on Electronic Materials (1994).

         

30.   L. Y. Yeh, J. J. Wang, J. Y. M. Lee, J. Y. Hsu, J. T. Lai and Y. S. Chang, "High Dielectric Constant Ta2O5 Capacitors Fabricated by RF Sputtering for Dynamic Random Accesss Memory (DRAM) Applications," The 1994 International Conference on Electronic Materials (1994).

 

31.   J. C. Yu, Benjamin Chih-ming Lai and J. Y. M. Lee, ¡§The Fabrication and Characterization of Metal-Oxide-Semiconductor Field Effect Transistors and Gated Diodes using Ta2O5 Gate Oxide,¡¨ 2000 Australia 11th International Semiconducting and Insulating Materials Conference (SMIC), p. PII-17.

 

32.   C. Y. Sze and J. Y. M. Lee, ¡§The Electrical Characteristics of Metal-Ferroelectric (PbZrxTi1-xO3)- Insulator(Ta2O5)-Silicon Structure for Non-volatile Memory Applications,¡¨ 2000 Australia 11th International Semiconducting and Insulating Materials Conference (SMIC), p. PII-16.

 

33.   B. C. M. Lai and J. Y. M. Lee, ¡§The Observation of Negative Transconductance Effect in Metal-Oxide-Semiconductor Field Effect Transistors Fabricated with Ta2O5 Gate Dielectric Due To Real-Space-Transfer of Electrons,¡¨ 2000 Hong Kong Electronic Device Meeting (HKEDM), p. 16.

 

34.   Y. R. Liu and J. Y. M. Lee, ¡§The fabrication and characterization of metal-oxide-semiconductor field-effect-transistors and gated diodes using (Ba0.5, Sr0.5)TiO3 (BST) gate dielectric,¡¨ p. 56, XII th Semiconducting and Insulating Materials Conference, June 30-July 5, 2002, Smolenice Castle, Slovakia.

 

35.   C. L. Hou and J. Y. M. Lee, ¡§The capacitance-voltage characteristics of metal- ferroelectric-insulator-silicon structures for non-volatile memory applications,¡¨ p. 83, XIIth Semiconducting and Insulating Materials Conference, June 30-July 5, 2002, Smolenice Castle, Slovakia.

 

36.   R. C. J. Wang, J. R. Shih, L. H. Chu, K. Y. Y. Doong, L. S. Wang, P. C. Wei, D. S. Su, C. Y. Yang, C. C. Chiu, D. Su, Y. Peng, J. T. Yue and J. Y. M. Lee, ¡§The effect of CF4 plasma on the device characteristics and reliability properties of 0.18 £gm MOSFETs,¡¨ 9 th International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA), Technical Digest, pp.23-26,  July 8-12, 2002, Singapore.

 

37.   T. L. Chen, F. C. Chiu, J. Y. M. Lee, L. Y. Leu, K. F. Chuang and L. R. Way, "The fabrication and characterization of tunable holding voltage electrostatic discharge (ESD) protection device for high voltage integrated circuit technology," pp.33-36, 2002 Taiwan ESD Conference, Sept. 2002.

 

38.   F. C. Chiu, S. A. Lin, and J. Y. M. Lee, ¡§Electrical properties of metal-HfO2-silicon system measured from metal-insulator-semiconductor capacitors and metal-insulator-semiconductor field effect transistors using HfO2 gate dielectric,¡¨ 13 th Workshop on Dielectric for Microelectronics, June 28-30, 2004, Cork, Ireland.

 

39.   M. T. Wang, T. H. Wang and J. Y. M. Lee, ¡§Electrical conduction mechanism in high-dielectric-constant ZrO2 thin films,¡¨ 13 th Workshop on Dielectric for Microelectronics, June 28-30, 2004, Cork, Ireland.

 

40.   P. C. Juan, H. C. Chou and J. Y. M. Lee, ¡§The effect of electrode material on the electrical conduction of metal-Pb(Zr0.53,Ti0.47)O3-metal thin film capacitors,¡¨ 13 th Workshop on Dielectric for Microelectronics, June 28-30, 2004, Cork, Ireland.

 

41.   P. C. Juan, Y. P. Hu, F. C. Chiu, and J. Y. M. Lee, "The electrical properties of metal-ferroelectric (PZT)-insulator-silicon (MFIS) capacitors with different insulator materials," INFOS 2005-Insulating Films on Semiconductors, June 22-24, 2005, Leuven, Belgium.

 

42.   F. C. Chiu, W. C. Shih, J. Y. M. Lee, H. L. Hwang, "An investigation on capture cross-section of surface state of metal-oxide-semiconductor field-effect transistors using HfO2 gate dielectric," 14 th Workshop on Dielectrics in Microelectronics (WoDiM), Catania, Italy, June 26-28, 2006.

 

43.   Y. D. Su, W. C. Shih and J. Y. M. Lee, "The characterization of retention properties of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator(Dy2O3, Y2O3)-semiconductor devices," 14 th Workshop on Dielectrics in Microelectronics (WoDiM), Catania, Italy, June 26-28, 2006.

 

44.   H. H. Hsu and J. Y. M. Lee, "Electrical characterization of metal-oxide-high k-dielectric-oxide-semiconductor (MOHOS) structure for memory applications," 14 th Workshop on Dielectrics in Microelectronics (WoDiM), Catania, Italy, June 26-28, 2006.

 

45.   H. L. Hwang, Y. K. Chiou, C. H. Chang, C. C. Wang, K. Y. Lee, T. B. Wu, R. N. Kwo, M. H. Hong, K. S. Chang-Liao, C. Y. Lu, C. C. Lu, Y. Y. Kyi, A. Chin, C. H. Chen, J. Y. M. Lee and F. C. Chiu, "Advance in next century nano CMOSFET research and its future prospect for industry," 13 th International conference on Solid Films and Suefaces (ICSFS), Nov. 6-10, 2006, San Carlos de Bariloche, Patagenia, Argentina.

 

46.   W. C. Shih and J. Y. M. Lee, "The Improvement on Retention Time of Metal-Ferroelectric (PbZr0.53Ti0.47O3)-Insulator (ZrO2)-Semiconductor Capacitors by Surface Treatment," International Symposium on Integrated Ferroelectrics (ISIF), May 8-11, 2007, Bordeaux, France.

 

47.   Y. R. Hwang, I .Y. K. Chang, M. T. Wang and J. Y. M. Lee, "The fabrication and characterization of metal-insulator-silicon capacitors and field-effect transistors using Dy2O3 and Sm2O3 gate dielectrics", International Symposium on Integrated Ferroelectrics (ISIF), May 8-11, 2007, Bordeaux, France.

 

48.   D. C. Hsu, M. T. Wang, I. Y. K. Chang, P. C. Juan and J. Y. M. Lee, "The effect of positive bias temperature instability on n-channel field effect transistors with La2O3 gate dielectric," International Symposium on Integrated Ferroelectrics (ISIF), May 8-11, 2007, Bordeaux, France.

 

49.   P. C. Juan, J. D. Chiang, W. C. Shih and J. Y. M. Lee, "The effect of annealing temperature on the electrical properties metal-ferroelectric(PbZr0.53Ti0.47O3)-Insulator (ZrO2)-Semiconductor (MFIS) thin film capacitors," Insulating Films on Semiconductors (INFOS), Athens, Greece, June 20-23, 2007.

 

50.   W. C. Shih, T. P. C. Juan, I. Y. K. Chang, F. C. Chiu, C. H. Cheng and Joseph Y. M. Lee, ¡§The Electrical Properties of Metal-Oxide (SiO2)-High-k oxide (Ta2O5)-Oxide (SiO2)-Semiconductor Capacitors and Transistors for Non-Volatile Memory Applications,¡¨ International Symposium on Integrated Ferroelectrics (ISIF), Singapore, June 8-12, 2008.

 

51.   I. Y. K. Chang, S. W. You, W. C. Shih, C. H. Chen, T. P. C. Juan and Joseph Y. M. Lee, ¡§The Fabrication and Characterization of Metal-high-k oxide-semiconductor Capacitors and Field-Effect Transistors Using LaAlO3 Gate Dielectric,¡¨ International Symposium on Integrated Ferroelectrics (ISIF), Singapore, June 9-12, 2008.

 

52.   T. P. C. Juan, J. H. Lu, W. C. Shih, C. C. Yang and Joseph Y. M. Lee, ¡§The Effect of Annealing Temperature on the Memory Window of Metal-Ferroelectric (PbZr0.6Ti0.4O3)-Insulator (La2O3)-Semiconductor Structures for Non-Volatile Memory Applications,¡¨ International Symposium on Integrated Ferroelectrics (ISIF), Singapore, June 9-12, 2008.

 

53.   W. C. Shih, P. C. Juan, P. C. Chan and Joseph Ya-min Lee, ¡§The Study of Charge Injection Effect on Metal/Ferroelectric (PZT) /High-k (Y2O3)/Si (MFIS) Transistors,¡¨ 15th Workshop on Dielectrics in Microelectronics (WoDiM), Berlin, Germany, June 23-25, 2008.

 

54.   C. M. Lin, W. C. Shih, and Joseph Ya-min Lee, ¡§The Electrical Characteristics of Metal-Ferroelectric (BiFeO3)-Insulator (Y2O3)-Semiconductor Capacitors and Transistors,¡¨ 15th Workshop on Dielectrics in Microelectronics (WoDiM), Berlin, Germany, June 23-25, 2008.

 

55.   P. C. Chan, W. C. Shih and Joseph Ya-min Lee, ¡§The Electrical Properties of Metal-Ferroelectric -Polysilicon-Insulator -Silicon (MFPIS) Capacitors and Field-Effect Transistors,¡¨ 15th Workshop on Dielectrics in Microelectronics (WoDiM), Berlin, Germany, June 23-25, 2008.

 

56.   J. C. H. Hsu, I. Y. K. Chang, C. H. Chen and J. Y. M. Lee, ¡§The fabrication and characterization of Pt/TiOx/Pt capacitors for resistive random access memory applications,¡¨ 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology (IWDTF 2008), pp.47-48, Tokyo, Japan, Nov.5-7, 2008.

 

57.   I. Y. K. Chang, S. W. You, M. G. Chen and J. Y. M. Lee, ¡§The fabrication and characterization of metal-oxide-silicon capacitors and field-effect transistors using LaAlO3 gate dielectric,¡¨ 2008 International Workshop on Dielectric Thin Films for Future ULSI Devices: Science and Technology (IWDTF 2008), pp.159-160, Tokyo, Japan, Nov.5-7, 2008.

 

( C) Book Chapters

 

1.     "The electrical properties of high dielectric constant and ferroelectric thin films for very large scale integration (VLSI) circuits," ¡§Thin Films Handbook, Processing, Characterization and Properties¡¨, ed. by H. S. Nalwa, Academic Press, 2002.

 

2.     "The application of high-dielectric-constant and ferroelectric thin films in integrated circuit technology" in Handbook of Nanoceramics and Their Based Nanodevices, edited by T. Y. Tseng and H. S. Nalwa, Academic Press, to be published.

 

(D) Books

 

1.     ¡m´b¡n¡]ªø½g¤p»¡¡^¡A247­¶¡A§õ¶®©úµÛ¡A¤¤¥¡¤é³ø¥Xª©ªÀ¡A1986¦~11¤ë¡C

 

2.     ¡m©TºA¹q¤l¾Ç¡n¡]Solid State Electronics¡^, 606­¶, §õ¶®©úµÛ¡A¥þµØ¬ì§Þ¹Ï®Ñ¤½¥q, ¥x¥_¡A1995¦~5¤ë¡C

 

3.     ¡m©TºA¹q¤l¾Ç²ßÃD¸Ô¸Ñ¡n¡]Problems and Solutions of Solid State Electronics¡^¡A§õ¶®©úµÛ¡A152­¶¡AµØ³q®Ñ§{¡A·s¦Ë¡A1998¦~12¤ë

 

4.     ¡m¥b¾ÉÅ骺¬G¨Æ¡n¡]The Story of Semiconductors¡^¡A341­¶¡A§õ¶®©úµÛ¡A·s·s»D¥Xª©ªÀ¡A¥x¥_¡A1999¦~12¤ë¡C

 

5.     ¡mIC¦p¦ó³Ð·s¡n¡ARichard Turton ­ìµÛ (®Ñ¦W A Journey into the Future of Microelectronics)¡A§õ¶®©úĶ¡A295­¶¡A¤Ñ¤U»·¨£¥Xª©¤½¥q¡A¥x¥_¡A2000¦~8¤ë¡C

 

6.     ¡mºÞ±©ª¢¤f­z¾ú¥v¦^¾Ð¿ý¡n¡A§õ¶®©ú¥D½s¡A¦ó²Q¹a¾ã²z¡A476­¶¡A²MµØ¤j¾Ç¥Xª©ªÀ¡A·s¦Ë¡A2004¦~12¤ë¡C

 

7.     ¡m§Ú¬Ý°ò·þ±Ð¡G¤@­Óª¾ÃÑ¥÷¤lªº¬Ù«ä¡n¡A259­¶¡A§õ¶®©úµÛ¡A®Û«a¹Ï®Ñ¤½¥q¡A¥x¥_¡A2006¦~6¤ë¡C

 

8.     ¡m¬ì¾Ç»P©v±Ð¢w400¦~¨Óªº½Ä¬ð¡B¬D¾Ô©M®i±æ¡n¡A394­¶¡A§õ¶®©úµÛ¡A¤­«n¹Ï®Ñ¤½¥q¡A¥x¥_¡A2008¦~12¤ë¡C